default search action
Mehdi Baradaran Tahoori
Person information
- affiliation: Karlsruhe Institute of Technology, Germany
Refine list
refinements active!
zoomed in on ?? of ?? records
view refined list in
export refined list as
2020 – today
- 2024
- [j116]Leon Brackmann, Tobias Ziegler, Atousa Jafari, Dirk J. Wouters, Mehdi B. Tahoori, Stephan Menzel:
Improved Arithmetic Performance by Combining Stateful and Non-Stateful Logic in Resistive Random Access Memory 1T-1R Crossbars. Adv. Intell. Syst. 6(3) (2024) - [j115]Dina A. Moussa, Michael Hefenbrock, Mehdi B. Tahoori:
Testing for Multiple Faults in Deep Neural Networks. IEEE Des. Test 41(3): 47-53 (2024) - [j114]Mehdi Baradaran Tahoori, Yervant Zorian:
Special Issue on Silicon Lifecycle Management. IEEE Des. Test 41(4): 5-6 (2024) - [j113]Surendra Hemaram, Mehdi B. Tahoori, Francky Catthoor, Siddharth Rao, Sebastien Couet, Valerio Pica, Gouri Sankar Kar:
Soft and Hard Error-Correction Techniques in STT-MRAM. IEEE Des. Test 41(5): 65-82 (2024) - [j112]Mahta Mayahinia, Mehdi Baradaran Tahoori, Grigor Tshagharyan, Karen Amirkhanyan, Artur Ghukasyan, Gurgen Harutyunyan, Yervant Zorian:
Testing for Electromigration in Sub-5-nm FinFET Memories. IEEE Des. Test 41(6): 54-61 (2024) - [j111]Soyed Tuhin Ahmed, Mahta Mayahinia, Michael Hefenbrock, Christopher Münch, Mehdi B. Tahoori:
Design-time Reference Current Generation for Robust Spintronic-based Neuromorphic Architecture. ACM J. Emerg. Technol. Comput. Syst. 20(1): 2:1-2:20 (2024) - [j110]Soyed Tuhin Ahmed, Mehdi B. Tahoori:
One-Shot Online Testing of Deep Neural Networks Based on Distribution Shift Detection. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 43(10): 3250-3263 (2024) - [j109]Zhenlin Pei, Hsiao-Hsuan Liu, Mahta Mayahinia, Mehdi B. Tahoori, Francky Catthoor, Zsolt Tokei, Dawit Burusie Abdi, James Myers, Chenyun Pan:
Ultra-Scaled E-Tree-Based SRAM Design and Optimization With Interconnect Focus. IEEE Trans. Circuits Syst. I Regul. Pap. 71(10): 4597-4610 (2024) - [c321]Sina Bakhtavari Mamaghani, Priyanjana Pal, Mehdi Baradaran Tahoori:
A Dynamic Testing Scheme for Resistive-Based Computation-In-Memory Architectures. ASPDAC 2024: 509-514 - [c320]Brojogopal Sapui, Mehdi B. Tahoori:
Power Side-Channel Analysis and Mitigation for Neural Network Accelerators based on Memristive Crossbars. ASPDAC 2024: 612-617 - [c319]Surendra Hemaram, Mehdi B. Tahoori, Francky Catthoor, Siddharth Rao, Sebastien Couet, Gouri Sankar Kar:
Hard Error Correction in STT-MRAM. ASPDAC 2024: 752-757 - [c318]Hamid Farzaneh, João Paulo C. de Lima, Ali Nezhadi Khelejani, Asif Ali Khan, Mahta Mayahinia, Mehdi B. Tahoori, Jerónimo Castrillón:
SHERLOCK: Scheduling Efficient and Reliable Bulk Bitwise Operations in NVMs. DAC 2024: 293:1-293:6 - [c317]Florentia Afentaki, Michael Hefenbrock, Georgios Zervakis, Mehdi B. Tahoori:
Embedding Hardware Approximations in Discrete Genetic-Based Training for Printed MLPs. DATE 2024: 1-6 - [c316]Soyed Tuhin Ahmed, Kamal Danouchi, Guillaume Prenat, Lorena Anghel, Mehdi B. Tahoori:
Enhancing Reliability of Neural Networks at the Edge: Inverted Normalization with Stochastic Affine Transformations. DATE 2024: 1-6 - [c315]Soyed Tuhin Ahmed, Kamal Danouchi, Guillaume Prenat, Lorena Anghel, Mehdi B. Tahoori:
NeuSpin: Design of a Reliable Edge Neuromorphic System Based on Spintronics for Green AI. DATE 2024: 1-6 - [c314]Giorgos Armeniakos, Paula L. Duarte, Priyanjana Pal, Georgios Zervakis, Mehdi B. Tahoori, Dimitrios Soudris:
On-Sensor Printed Machine Learning Classification via Bespoke ADC and Decision Tree Co-Design. DATE 2024: 1-6 - [c313]Paul R. Genssler, Mahta Mayahinia, Simon Thomann, Mehdi B. Tahoori, Hussam Amrouch:
DropHD: Technology/Algorithm Co-Design for Reliable Energy-Efficient NVM-Based Hyper-Dimensional Computing Under Voltage Scaling. DATE 2024: 1-6 - [c312]Seyedeh Maryam Ghasemi, Sergej Meschkov, Jonas Krautter, Dennis R. E. Gnad, Mehdi B. Tahoori:
In-Field Detection of Small Delay Defects and Runtime Degradation Using On-Chip Sensors. DATE 2024: 1-2 - [c311]Mahta Mayahinia, Simon Thomann, Paul R. Genssler, Christopher Münch, Hussam Amrouch, Mehdi B. Tahoori:
Algorithm to Technology Co-Optimization for CiM-Based Hyperdimensional Computing. DATE 2024: 1-6 - [c310]Vincent Meyers, Dennis Gnad, Mehdi Baradaran Tahoori:
Out-of-Distribution Detection Using Power-Side Channels for Improving Functional Safety of Neural Network FPGA Accelerators. DATE 2024: 1-2 - [c309]Priyanjana Pal, Haibin Zhao, Maha Shatta, Michael Hefenbrock, Sina Bakhtavari Mamaghani, Sani R. Nassif, Michael Beigl, Mehdi B. Tahoori:
Analog Printed Spiking Neuromorphic Circuit. DATE 2024: 1-6 - [c308]Mahboobe Sadeghipour Roodsari, Jonas Krautter, Mehdi B. Tahoori:
OTFGEncoder - HDC: Hardware-efficient Encoding Techniques for Hyperdimensional Computing. DATE 2024: 1-2 - [c307]Soyed Tuhin Ahmed, Kamal Danouchi, Michael Hefenbrock, Guillaume Prenat, Lorena Anghel, Mehdi B. Tahoori:
Testing Spintronics Implemented Monte Carlo Dropout-Based Bayesian Neural Networks. ETS 2024: 1-6 - [c306]Seyedeh Maryam Ghasemi, Jonas Krautter, Tara Gheshlaghi, Sergej Meschkov, Dennis R. E. Gnad, Mehdi B. Tahoori:
Degradation Monitoring Through Software-controlled On-chip Sensors for RISC-V. ETS 2024: 1-6 - [c305]Dennis Gnad, Martin Gotthard, Jonas Krautter, Angeliki Kritikakou, Vincent Meyers, Paolo Rech, Josie E. Rodriguez Condia, Annachiara Ruospo, Ernesto Sánchez, Fernando Fernandes dos Santos, Olivier Sentieys, Mehdi B. Tahoori, Russell Tessier, Marcello Traiola:
Reliability and Security of AI Hardware. ETS 2024: 1-10 - [c304]Priyanjana Pal, Florentia Afentaki, Haibin Zhao, Gurol Saglam, Michael Hefenbrock, Georgios Zervakis, Michael Beigl, Mehdi B. Tahoori:
Fault Sensitivity Analysis of Printed Bespoke Multilayer Perceptron Classifiers. ETS 2024: 1-6 - [c303]Jongsin Yun, Sina Bakhtavari Mamaghani, Mehdi B. Tahoori, Christopher Münch, Martin Keim:
MBIST-based weak bit screening method for embedded MRAM. ETS 2024: 1-4 - [c302]Hassan Nassar, Philipp Machauer, Dennis R. E. Gnad, Lars Bauer, Mehdi B. Tahoori, Jörg Henkel:
Covert-Hammer: Coordinating Power-Hammering on Multi-tenant FPGAs via Covert Channels. FPGA 2024: 43 - [c301]Mahboobe Sadeghipour Roodsari, Jonas Krautter, Vincent Meyers, Mehdi B. Tahoori:
E3HDC: Energy Efficient Encoding for Hyper-Dimensional Computing on Edge Devices. FPL 2024: 274-280 - [c300]Vincent Meyers, Michael Hefenbrock, Dennis Gnad, Mehdi B. Tahoori:
Trained to Leak: Hiding Trojan Side-Channels in Neural Network Weights. HOST 2024: 122-127 - [c299]Lilas Alrahis, Hassan Nassar, Jonas Krautter, Dennis Gnad, Lars Bauer, Jörg Henkel, Mehdi B. Tahoori:
MaliGNNoma: GNN-Based Malicious Circuit Classifier for Secure Cloud FPGAs. HOST 2024: 383-393 - [c298]Brojogopal Sapui, Sergej Meschkov, Mehdi B. Tahoori:
Side-Channel Attack with Fault Analysis on Memristor-based Computation-in-Memory. IOLTS 2024: 1-7 - [c297]Zhe Zhang, Christian Weis, Norbert Wehn, Mehdi B. Tahoori, Sani R. Nassif:
Do Radiation and Aging Impact DVFS? TCAD-based Analysis on 22 nm FDSOI Latches. IOLTS 2024: 1-6 - [c296]Soyed Tuhin Ahmed, Surendra Hemaram, Mehdi B. Tahoori:
NN-ECC: Embedding Error Correction Codes in Neural Network Weight Memories using Multi-task Learning. VTS 2024: 1-7 - [c295]Sina Bakhtavari Mamaghani, Jongsin Yun, Martin Keim, Mehdi B. Tahoori:
Multi-Level Reference for Test Coverage Enhancement of Resistive-Based NVM. VTS 2024: 1-7 - [c294]Mahta Mayahinia, Haneen G. Hezayyin, Mehdi B. Tahoori:
Reliability analysis and mitigation for analog computation-in-memory: from technology to application. VTS 2024: 1-7 - [c293]Kai Su, Mark Giraud, Anne Borcherding, Jonas Krautter, Philipp Nenninger, Mehdi Baradaran Tahoori:
Fuzz Wars: The Voltage Awakens - Voltage-Guided Blackbox Fuzzing on FPGAs. VTS 2024: 1-7 - [c292]Zhe Zhang, Mahta Mayahinia, Christian Weis, Norbert Wehn, Mehdi B. Tahoori, Sani R. Nassif, Grigor Tshagharyan, Gurgen Harutyunyan, Yervant Zorian:
Addressing the Combined Effect of Transistor and Interconnect Aging in SRAM towards Silicon Lifecycle Management. VTS 2024: 1-5 - [i30]Soyed Tuhin Ahmed, Mehdi Baradaran Tahoori:
Concurrent Self-testing of Neural Networks Using Uncertainty Fingerprint. CoRR abs/2401.01458 (2024) - [i29]Soyed Tuhin Ahmed, Michael Hefenbrock, Guillaume Prenat, Lorena Anghel, Mehdi B. Tahoori:
Testing Spintronics Implemented Monte Carlo Dropout-Based Bayesian Neural Networks. CoRR abs/2401.04744 (2024) - [i28]Soyed Tuhin Ahmed, Kamal Danouchi, Guillaume Prenat, Lorena Anghel, Mehdi B. Tahoori:
NeuSpin: Design of a Reliable Edge Neuromorphic System Based on Spintronics for Green AI. CoRR abs/2401.06195 (2024) - [i27]Soyed Tuhin Ahmed, Kamal Danouchi, Guillaume Prenat, Lorena Anghel, Mehdi B. Tahoori:
Enhancing Reliability of Neural Networks at the Edge: Inverted Normalization with Stochastic Affine Transformations. CoRR abs/2401.12416 (2024) - [i26]Florentia Afentaki, Michael Hefenbrock, Georgios Zervakis, Mehdi B. Tahoori:
Embedding Hardware Approximations in Discrete Genetic-based Training for Printed MLPs. CoRR abs/2402.02930 (2024) - [i25]Lilas Alrahis, Hassan Nassar, Jonas Krautter, Dennis Gnad, Lars Bauer, Jörg Henkel, Mehdi B. Tahoori:
MaliGNNoma: GNN-Based Malicious Circuit Classifier for Secure Cloud FPGAs. CoRR abs/2403.01860 (2024) - [i24]Soyed Tuhin Ahmed, Michael Hefenbrock, Mehdi B. Tahoori:
Tiny Deep Ensemble: Uncertainty Estimation in Edge AI Accelerators via Ensembling Normalization Layers with Shared Weights. CoRR abs/2405.05286 (2024) - [i23]Soyed Tuhin Ahmed, Mehdi B. Tahoori:
Few-Shot Testing: Estimating Uncertainty of Memristive Deep Neural Networks Using One Bayesian Test Vector. CoRR abs/2405.18894 (2024) - [i22]Vojtech Mrazek, Argyris Kokkinis, Panagiotis Papanikolaou, Zdenek Vasícek, Kostas Siozios, Georgios Tzimpragos, Mehdi Baradaran Tahoori, Georgios Zervakis:
Evolutionary Approximation of Ternary Neurons for On-sensor Printed Neural Networks. CoRR abs/2407.20589 (2024) - [i21]Paula Carolina Lozano Duarte, Florentia Afentaki, Georgios Zervakis, Mehdi B. Tahoori:
Design and In-training Optimization of Binary Search ADC for Flexible Classifiers. CoRR abs/2410.00737 (2024) - 2023
- [j108]Soyed Tuhin Ahmed, Mehdi B. Tahoori:
Fault-Tolerant Neuromorphic Computing With Memristors Using Functional ATPG for Efficient Recalibration. IEEE Des. Test 40(4): 42-50 (2023) - [j107]Vincent Meyers, Dennis Gnad, Mehdi Baradaran Tahoori:
Active and Passive Physical Attacks on Neural Network Accelerators. IEEE Des. Test 40(5): 70-85 (2023) - [j106]Soyed Tuhin Ahmed, Kamal Danouchi, Christopher Münch, Guillaume Prenat, Lorena Anghel, Mehdi B. Tahoori:
SpinDrop: Dropout-Based Bayesian Binary Neural Networks With Spintronic Implementation. IEEE J. Emerg. Sel. Topics Circuits Syst. 13(1): 150-164 (2023) - [j105]Vincent Rietz, Christopher Münch, Mahta Mayahinia, Mehdi Baradaran Tahoori:
Timing-accurate simulation framework for NVM-based compute-in-memory architecture exploration. it Inf. Technol. 65(1-2): 13-29 (2023) - [j104]Mirjana Stojilovic, Kasper Rasmussen, Francesco Regazzoni, Mehdi B. Tahoori, Russell Tessier:
A Visionary Look at the Security of Reconfigurable Cloud Computing. Proc. IEEE 111(12): 1548-1571 (2023) - [j103]Giorgos Armeniakos, Georgios Zervakis, Dimitrios Soudris, Mehdi B. Tahoori, Jörg Henkel:
Co-Design of Approximate Multilayer Perceptron for Ultra-Resource Constrained Printed Circuits. IEEE Trans. Computers 72(9): 2717-2725 (2023) - [j102]Sergej Meschkov, Dennis R. E. Gnad, Jonas Krautter, Mehdi B. Tahoori:
New Approaches of Side-Channel Attacks Based on Chip Testing Methods. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 42(5): 1411-1424 (2023) - [j101]Soyed Tuhin Ahmed, Michael Hefenbrock, Christopher Münch, Mehdi B. Tahoori:
NeuroScrub+: Mitigating Retention Faults Using Flexible Approximate Scrubbing in Neuromorphic Fabric Based on Resistive Memories. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 42(5): 1490-1503 (2023) - [j100]Giorgos Armeniakos, Georgios Zervakis, Dimitrios Soudris, Mehdi B. Tahoori, Jörg Henkel:
Model-to-Circuit Cross-Approximation For Printed Machine Learning Classifiers. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 42(11): 3532-3544 (2023) - [j99]Kai Schoos, Sergej Meschkov, Mehdi B. Tahoori, Dennis R. E. Gnad:
JitSCA: Jitter-based Side-Channel Analysis in Picoscale Resolution. IACR Trans. Cryptogr. Hardw. Embed. Syst. 2023(3): 294-320 (2023) - [j98]Soyed Tuhin Ahmed, Kamal Danouchi, Michael Hefenbrock, Guillaume Prenat, Lorena Anghel, Mehdi B. Tahoori:
SpinBayes: Algorithm-Hardware Co-Design for Uncertainty Estimation Using Bayesian In-Memory Approximation on Spintronic-Based Architectures. ACM Trans. Embed. Comput. Syst. 22(5s): 131:1-131:25 (2023) - [c291]Mathieu Gross, Jonas Krautter, Dennis Gnad, Michael Gruber, Georg Sigl, Mehdi B. Tahoori:
FPGANeedle: Precise Remote Fault Attacks from FPGA to CPU. ASP-DAC 2023: 358-364 - [c290]Dina A. Moussa, Michael Hefenbrock, Christopher Münch, Mehdi B. Tahoori:
Automatic Test Pattern Generation and Compaction for Deep Neural Networks. ASP-DAC 2023: 436-441 - [c289]Jörg Henkel, Lokesh Siddhu, Lars Bauer, Jürgen Teich, Stefan Wildermann, Mehdi B. Tahoori, Mahta Mayahinia, Jerónimo Castrillón, Asif Ali Khan, Hamid Farzaneh, João Paulo C. de Lima, Jian-Jia Chen, Christian Hakert, Kuan-Hsun Chen, Chia-Lin Yang, Hsiang-Yun Cheng:
Special Session - Non-Volatile Memories: Challenges and Opportunities for Embedded System Architectures with Focus on Machine Learning Applications. CASES 2023: 11-20 - [c288]Mehdi B. Tahoori:
Security Challenges and Opportunities of Cloud FPGAs. CCSW@CCS 2023: 1 - [c287]Soyed Tuhin Ahmed, Kamal Danouchi, Michael Hefenbrock, Guillaume Prenat, Lorena Anghel, Mehdi B. Tahoori:
Scalable Spintronics-based Bayesian Neural Network for Uncertainty Estimation. DATE 2023: 1-6 - [c286]Argyris Kokkinis, Georgios Zervakis, Kostas Siozios, Mehdi B. Tahoori, Jörg Henkel:
Hardware-Aware Automated Neural Minimization for Printed Multilayer Perceptrons. DATE 2023: 1-2 - [c285]Sina Bakhtavari Mamaghani, Christopher Münch, Jongsin Yun, Martin Keim, Mehdi Baradaran Tahoori:
Smart Hammering: A practical method of pinhole detection in MRAM memories. DATE 2023: 1-6 - [c284]Mahta Mayahinia, Hsiao-Hsuan Liu, Subrat Mishra, Zsolt Tokei, Francky Catthoor, Mehdi B. Tahoori:
Electromigration-aware design technology co-optimization for SRAM in advanced technology nodes. DATE 2023: 1-6 - [c283]Dina A. Moussa, Michael Hefenbrock, Mehdi B. Tahoori:
Compact Test Pattern Generation For Multiple Faults In Deep Neural Networks. DATE 2023: 1-2 - [c282]Haibin Zhao, Michael Hefenbrock, Michael Beigl, Mehdi B. Tahoori:
Split Additive Manufacturing for Printed Neuromorphic Circuits. DATE 2023: 1-6 - [c281]Haibin Zhao, Brojogopal Sapui, Michael Hefenbrock, Zhidong Yang, Michael Beigl, Mehdi B. Tahoori:
Highly-Bespoke Robust Printed Neuromorphic Circuits. DATE 2023: 1-6 - [c280]Soyed Tuhin Ahmed, Roman Rakhmatullin, Mehdi B. Tahoori:
Online Fault-Tolerance for Memristive Neuromorphic Fabric Based on Local Approximation. ETS 2023: 1-4 - [c279]Mahta Mayahinia, Mehdi Baradaran Tahoori, Grigor Tshagharyan, Gurgen Harutyunyan, Yervant Zorian:
On-chip Electromigration Sensor for Silicon Lifecycle Management of Nanoscale VLSI. ETS 2023: 1-4 - [c278]Brojogopal Sapui, Jonas Krautter, Mahta Mayahinia, Atousa Jafari, Dennis Gnad, Sergej Meschkov, Mehdi B. Tahoori:
Power Side-Channel Attacks and Countermeasures on Computation-in-Memory Architectures and Technologies. ETS 2023: 1-6 - [c277]Lukas Huegle, Martin Gotthard, Vincent Meyers, Jonas Krautter, Dennis R. E. Gnad, Mehdi B. Tahoori:
Power2Picture: Using Generative CNNs for Input Recovery of Neural Network Accelerators through Power Side-Channels on FPGAs. FCCM 2023: 155-161 - [c276]Vincent Meyers, Mehdi B. Tahoori:
Power Side-Channel Attacks and Defenses for Neural Network Accelerators. FCCM 2023: 239-241 - [c275]Nicolai Müller, Sergej Meschkov, Dennis R. E. Gnad, Mehdi B. Tahoori, Amir Moradi:
Automated Masking of FPGA-Mapped Designs. FPL 2023: 79-85 - [c274]Vincent Meyers, Michael Hefenbrock, Dennis Gnad, Mehdi Baradaran Tahoori:
Remote Identification of Neural Network FPGA Accelerators by Power Fingerprints. FPL 2023: 259-264 - [c273]Jonas Krautter, Paul R. Genssler, Gloria Sepanta, Hussam Amrouch, Mehdi B. Tahoori:
Stress-Resiliency of AI Implementations on FPGAs. FPL 2023: 333-338 - [c272]Zhenlin Pei, Mahta Mayahinia, Hsiao-Hsuan Liu, Mehdi B. Tahoori, Francky Catthoor, Zsolt Tokei, Chenyun Pan:
Technology/Memory Co-Design and Co-Optimization Using E-Tree Interconnect. ACM Great Lakes Symposium on VLSI 2023: 159-162 - [c271]Florentia Afentaki, Gurol Saglam, Argyris Kokkinis, Kostas Siozios, Georgios Zervakis, Mehdi B. Tahoori:
Bespoke Approximation of Multiplication-Accumulation and Activation Targeting Printed Multilayer Perceptrons. ICCAD 2023: 1-9 - [c270]Haibin Zhao, Priyanjana Pal, Michael Hefenbrock, Michael Beigl, Mehdi B. Tahoori:
Power-Aware Training for Energy-Efficient Printed Neuromorphic Circuits. ICCAD 2023: 1-9 - [c269]Seyedeh Maryam Ghasemi, Sergej Meschkov, Jonas Krautter, Dennis R. E. Gnad, Mehdi B. Tahoori:
SLM ISA and Hardware Extensions for RISC-V Processors. IOLTS 2023: 1-5 - [c268]Z. Zhang, Zhihang Wu, Christian Weis, Norbert Wehn, Mehdi Baradaran Tahoori:
A Learning-Based Approach for Single Event Transient Analysis in Pass Transistor Logic. IOLTS 2023: 1-7 - [c267]Leon Brackmann, Tobias Ziegler, Atousa Jafari, Dirk J. Wouters, Mehdi B. Tahoori, Stephan Menzel:
Design Limitations in Oxide-Based Memristive Ternary Content Addressable Memories. ISCAS 2023: 1-5 - [c266]Zhenlin Pei, Mahta Mayahinia, Hsiao-Hsuan Liu, Mehdi B. Tahoori, Shairfe Muhammad Salahuddin, Francky Catthoor, Zsolt Tokei, Chenyun Pan:
Emerging Interconnect Exploration for SRAM Application Using Nonconventional H-Tree and Center-Pin Access. ISQED 2023: 1 - [c265]Seyedeh Maryam Ghasemi, Sergej Meschkov, Jonas Krautter, Dennis R. E. Gnad, Mehdi B. Tahoori:
Enabling In-Field Parametric Testing for RISC-V Cores. ITC 2023: 367-376 - [c264]Haibin Zhao, Priyanjana Pal, Michael Hefenbrock, Michael Beigl, Mehdi Baradaran Tahoori:
Towards Temporal Information Processing - Printed Neuromorphic Circuits with Learnable Filters. NANOARCH 2023: 12:1-12:6 - [c263]Surendra Hemaram, Soyed Tuhin Ahmed, Mahta Mayahinia, Christopher Münch, Mehdi B. Tahoori:
A Low Overhead Checksum Technique for Error Correction in Memristive Crossbar for Deep Learning Applications. VTS 2023: 1-7 - [e2]Ronald Tetzlaff, Fernando Corinto, Neil Kemp, Alon Ascoli, Andreas Mögel, Meng-Fan Marvin Chang, Joseph S. Friedman, Siting Liu, John Paul Strachan, Stephan Menzel, Mehdi B. Tahoori, Martin Ziegler, Jason Eshraghian, Ioannis Messaris, Christian Koitzsch, Thomas Mikolajick, Vasileios G. Ntinas:
Proceedings of the 18th ACM International Symposium on Nanoscale Architectures, NANOARCH 2023, Dresden, Germany, December 18-20, 2023. ACM 2023 [contents] - [i20]Argyris Kokkinis, Georgios Zervakis, Kostas Siozios, Mehdi B. Tahoori, Jörg Henkel:
Hardware-Aware Automated Neural Minimization for Printed Multilayer Perceptrons. CoRR abs/2301.11142 (2023) - [i19]Giorgos Armeniakos, Georgios Zervakis, Dimitrios Soudris, Mehdi B. Tahoori, Jörg Henkel:
Co-Design of Approximate Multilayer Perceptron for Ultra-Resource Constrained Printed Circuits. CoRR abs/2302.14576 (2023) - [i18]Giorgos Armeniakos, Georgios Zervakis, Dimitrios Soudris, Mehdi B. Tahoori, Jörg Henkel:
Model-to-Circuit Cross-Approximation For Printed Machine Learning Classifiers. CoRR abs/2303.08255 (2023) - [i17]Soyed Tuhin Ahmed, Mehdi B. Tahoori:
One-Shot Online Testing of Deep Neural Networks Based on Distribution Shift Detection. CoRR abs/2305.09348 (2023) - [i16]Soyed Tuhin Ahmed, Kamal Danouchi, Michael Hefenbrock, Guillaume Prenat, Lorena Anghel, Mehdi B. Tahoori:
Spatial-SpinDrop: Spatial Dropout-based Binary Bayesian Neural Network with Spintronics Implementation. CoRR abs/2306.10185 (2023) - [i15]Soyed Tuhin Ahmed, Kamal Danouchi, Michael Hefenbrock, Guillaume Prenat, Lorena Anghel, Mehdi B. Tahoori:
Scale-Dropout: Estimating Uncertainty in Deep Neural Networks Using Stochastic Scale. CoRR abs/2311.15816 (2023) - [i14]Giorgos Armeniakos, Paula L. Duarte, Priyanjana Pal, Georgios Zervakis, Mehdi B. Tahoori, Dimitrios Soudris:
On-sensor Printed Machine Learning Classification via Bespoke ADC and Decision Tree Co-Design. CoRR abs/2312.01172 (2023) - [i13]Florentia Afentaki, Gurol Saglam, Argyris Kokkinis, Kostas Siozios, Georgios Zervakis, Mehdi B. Tahoori:
Bespoke Approximation of Multiplication-Accumulation and Activation Targeting Printed Multilayer Perceptrons. CoRR abs/2312.17612 (2023) - [i12]Vincent Meyers, Dennis R. E. Gnad, Nguyen Minh Dang, Falk Schellenberg, Amir Moradi, Mehdi B. Tahoori:
Stealthy Logic Misuse for Power Analysis Attacks in Multi-Tenant FPGAs (Extended Version). IACR Cryptol. ePrint Arch. 2023: 935 (2023) - 2022
- [j97]Ahmet Turan Erozan, Michael Hefenbrock, Dennis R. E. Gnad, Michael Beigl, Jasmin Aghassi-Hagmann, Mehdi B. Tahoori:
Counterfeit Detection and Prevention in Additive Manufacturing Based on Unique Identification of Optical Fingerprints of Printed Structures. IEEE Access 10: 105910-105919 (2022) - [j96]Jonas Krautter, Dennis R. E. Gnad, Mehdi B. Tahoori:
Remote Fault Attacks in Multitenant Cloud FPGAs. IEEE Des. Test 39(4): 33-40 (2022) - [j95]Surya A. Singaraju, Dennis D. Weller, Thurid S. Gspann, Jasmin Aghassi-Hagmann, Mehdi B. Tahoori:
Artificial Neurons on Flexible Substrates: A Fully Printed Approach for Neuromorphic Sensing. Sensors 22(11): 4000 (2022) - [j94]Dennis D. Weller, Michael Hefenbrock, Michael Beigl, Mehdi B. Tahoori:
Fast and Efficient High-Sigma Yield Analysis and Optimization Using Kernel Density Estimation on a Bayesian Optimized Failure Rate Model. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 41(3): 695-708 (2022) - [j93]Mahta Mayahinia, Mehdi B. Tahoori, Manu Perumkunnil Komalan, Houman Zahedmanesh, Kristof Croes, Tommaso Marinelli, José Ignacio Gómez Pérez, Timon Evenblij, Gouri Sankar Kar, Francky Catthoor:
Time-Dependent Electromigration Modeling for Workload-Aware Design-Space Exploration in STT-MRAM. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 41(12): 5327-5332 (2022) - [c262]Jonas Krautter, Mahta Mayahinia, Dennis R. E. Gnad, Mehdi B. Tahoori:
Data Leakage through Self-Terminated Write Schemes in Memristive Caches. ASP-DAC 2022: 666-671 - [c261]Michael Hefenbrock, Dennis D. Weller, Jasmin Aghassi-Hagmann, Michael Beigl, Mehdi B. Tahoori:
In-situ Tuning of Printed Neural Networks for Variation Tolerance. DATE 2022: 72-75 - [c260]Giorgos Armeniakos, Georgios Zervakis, Dimitrios Soudris, Mehdi B. Tahoori, Jörg Henkel:
Cross-Layer Approximation For Printed Machine Learning Circuits. DATE 2022: 190-195 - [c259]Atousa Jafari, Mahta Mayahinia, Soyed Tuhin Ahmed, Christopher Münch, Mehdi B. Tahoori:
MVSTT: A Multi-Value Computation-in-Memory based on Spin-Transfer Torque Memories. DSD 2022: 332-339 - [c258]Soyed Tuhin Ahmed, Mahta Mayahinia, Michael Hefenbrock, Christopher Münch, Mehdi B. Tahoori:
Process and Runtime Variation Robustness for Spintronic-Based Neuromorphic Fabric. ETS 2022: 1-2 - [c257]Moritz Fieback, Christopher Münch, Anteneh Gebregiorgis, Guilherme Cardoso Medeiros, Mottaqiallah Taouil, Said Hamdioui, Mehdi B. Tahoori:
PVT Analysis for RRAM and STT-MRAM-based Logic Computation-in-Memory. ETS 2022: 1-6 - [c256]Arunkumar Vijayan, Mehdi B. Tahoori, Ewald Kintzli, Timm Lohmann, Juergen Hans Handl:
A Data-driven Approach for Fault Detection in the Alternator Unit of Automotive Systems. ETS 2022: 1-4 - [c255]Zhe Zhang, Jan Lappas, André Lucas Chinazzo, Christian Weis, Zhihang Wu, Leibin Ni, Norbert Wehn, Mehdi B. Tahoori:
Machine learning based soft error rate estimation of pass transistor logic in high-speed communication. ETS 2022: 1-4 - [c254]Vincent Meyers, Dennis Gnad, Mehdi B. Tahoori:
Reverse Engineering Neural Network Folding with Remote FPGA Power Analysis. FCCM 2022: 1-10 - [c253]Dennis R. E. Gnad, Jiaqi Hu, Mehdi B. Tahoori:
Breaking an FPGA-Integrated NIST SP 800-193 Compliant TRNG Hard-IP Core with On-Chip Voltage-Based Fault Attacks. FPL 2022: 397-403 - [c252]Haibin Zhao, Michael Hefenbrock, Michael Beigl, Mehdi B. Tahoori:
Aging-Aware Training for Printed Neuromorphic Circuits. ICCAD 2022: 38:1-38:9 - [c251]Jörg Henkel, Hai Li, Anand Raghunathan, Mehdi B. Tahoori, Swagath Venkataramani, Xiaoxuan Yang, Georgios Zervakis:
Approximate Computing and the Efficient Machine Learning Expedition. ICCAD 2022: 80:1-80:9 - [c250]Surendra Hemaram, Mahta Mayahinia, Mehdi B. Tahoori:
Adaptive Block Error Correction for Memristive Crossbars. IOLTS 2022: 1-6 - [c249]Konstantinos Balaskas, Georgios Zervakis, Kostas Siozios, Mehdi B. Tahoori, Jörg Henkel:
Approximate Decision Trees For Machine Learning Classification on Tiny Printed Circuits. ISQED 2022: 1-6 - [c248]Soyed Tuhin Ahmed, Mehdi B. Tahoori:
Compact Functional Test Generation for Memristive Deep Learning Implementations using Approximate Gradient Ranking. ITC 2022: 239-248 - [c247]Mahta Mayahinia, Mehdi B. Tahoori, Manu Perumkunnil, Kristof Croes, Francky Catthoor:
Analyzing the Electromigration Challenges of Computation in Resistive Memories. ITC 2022: 534-538 - [c246]Mahta Mayahinia, Mehdi B. Tahoori, Gurgen Harutyunyan, Grigor Tshagharyan, Karen Amirkhanyan:
An Efficient Test Strategy for Detection of Electromigration Impact in Advanced FinFET Memories. ITC 2022: 650-655 - [c245]Leon Brackmann, Atousa Jafari, Christopher Bengel, Mahta Mayahinia, Rainer Waser, Dirk J. Wouters, Stephan Menzel, Mehdi B. Tahoori:
A failure analysis framework of ReRAM In-Memory Logic operations. ITC-Asia 2022: 67-72 - [c244]Soyed Tuhin Ahmed, Kamal Danouchi, Christopher Münch, Guillaume Prenat, Lorena Anghel, Mehdi B. Tahoori:
Binary Bayesian Neural Networks for Efficient Uncertainty Estimation Leveraging Inherent Stochasticity of Spintronic Devices. NANOARCH 2022: 11:1-11:6 - [c243]Soyed Tuhin Ahmed, Mehdi B. Tahoori:
Fault-tolerant Neuromorphic Computing with Functional ATPG for Post-manufacturing Re-calibration. VTS 2022: 1-7 - [c242]Anteneh Gebregiorgis, Lizhou Wu, Christopher Münch, Siddharth Rao, Mehdi B. Tahoori, Said Hamdioui:
Special Session: STT-MRAMs: Technology, Design and Test. VTS 2022: 1-10 - [c241]Mahta Mayahinia, Atousa Jafari, Mehdi B. Tahoori:
Voltage Tuning for Reliable Computation in Emerging Resistive Memories. VTS 2022: 1-7 - [c240]Christopher Münch, Jongsin Yun, Martin Keim, Mehdi B. Tahoori:
MBIST-based Trim-Search Test Time Reduction for STT-MRAM. VTS 2022: 1-7 - [i11]Giorgos Armeniakos, Georgios Zervakis, Dimitrios Soudris, Mehdi B. Tahoori, Jörg Henkel:
Cross-Layer Approximation For Printed Machine Learning Circuits. CoRR abs/2203.05915 (2022) - [i10]Konstantinos Balaskas, Georgios Zervakis, Kostas Siozios, Mehdi B. Tahoori, Jörg Henkel:
Approximate Decision Trees For Machine Learning Classification on Tiny Printed Circuits. CoRR abs/2203.08011 (2022) - [i9]Jörg Henkel, Hai Li, Anand Raghunathan, Mehdi B. Tahoori, Swagath Venkataramani, Xiaoxuan Yang, Georgios Zervakis:
Approximate Computing and the Efficient Machine Learning Expedition. CoRR abs/2210.00497 (2022) - 2021
- [j92]Falk Schellenberg, Dennis R. E. Gnad, Amir Moradi, Mehdi B. Tahoori:
An Inside Job: Remote Power Analysis Attacks on FPGAs. IEEE Des. Test 38(3): 58-66 (2021) - [j91]Patrick Girard, Yuanqing Cheng, Arnaud Virazel, Wei Zhao, Rajendra Bishnoi, Mehdi B. Tahoori:
A Survey of Test and Reliability Solutions for Magnetic Random Access Memories. Proc. IEEE 109(2): 149-169 (2021) - [j90]Anteneh Gebregiorgis, Mehdi B. Tahoori:
Approximate Learning and Fault-Tolerant Mapping for Energy-Efficient Neuromorphic Systems. ACM Trans. Design Autom. Electr. Syst. 26(3): 21:1-21:23 (2021) - [j89]Dennis R. E. Gnad, Cong Dang Khoa Nguyen, Syed Hashim Gillani, Mehdi B. Tahoori:
Voltage-Based Covert Channels Using FPGAs. ACM Trans. Design Autom. Electr. Syst. 26(6): 43:1-43:25 (2021) - [j88]Ahmet Turan Erozan, Simon Bosse, Mehdi B. Tahoori:
Defect Detection in Transparent Printed Electronics Using Learning-Based Optical Inspection. IEEE Trans. Very Large Scale Integr. Syst. 29(8): 1505-1517 (2021) - [c239]Yan Li, Jun Han, Xiaoyang Zeng, Mehdi B. Tahoori:
TRIGON: A Single-phase-clocking Low Power Hardened Flip-Flop with Tolerance to Double-Node-Upset for Harsh Environments Applications. DATE 2021: 88-93 - [c238]Dennis D. Weller, Nathaniel Bleier, Michael Hefenbrock, Jasmin Aghassi-Hagmann, Michael Beigl, Rakesh Kumar, Mehdi B. Tahoori:
Printed Stochastic Computing Neural Networks. DATE 2021: 914-919 - [c237]Dennis R. E. Gnad, Vincent Meyers, Nguyen Minh Dang, Falk Schellenberg, Amir Moradi, Mehdi B. Tahoori:
Stealthy Logic Misuse for Power Analysis Attacks in Multi-Tenant FPGAs. DATE 2021: 1012-1015 - [c236]Christopher Münch, Mehdi B. Tahoori:
Testing Resistive Memory based Neuromorphic Architectures using Reference Trimming. DATE 2021: 1592-1595 - [c235]Jonas Krautter, Dennis R. E. Gnad, Mehdi B. Tahoori:
Remote and Stealthy Fault Attacks on Virtualized FPGAs. DATE 2021: 1632-1637 - [c234]Soyed Tuhin Ahmed, Michael Hefenbrock, Christopher Münch, Mehdi B. Tahoori:
NeuroScrub: Mitigating Retention Failures Using Approximate Scrubbing in Neuromorphic Fabric Based on Resistive Memories. ETS 2021: 1-6 - [c233]Christopher Münch, Jongsin Yun, Martin Keim, Mehdi B. Tahoori:
MBIST-supported Trim Adjustment to Compensate Thermal Behavior of MRAM. ETS 2021: 1-6 - [c232]Hassan Nassar, Hanna AlZughbi, Dennis R. E. Gnad, Lars Bauer, Mehdi B. Tahoori, Jörg Henkel:
LoopBreaker: Disabling Interconnects to Mitigate Voltage-Based Attacks in Multi-Tenant FPGAs. ICCAD 2021: 1-9 - [c231]Jonas Krautter, Mehdi B. Tahoori:
Neural Networks as a Side-Channel Countermeasure: Challenges and Opportunities. ISVLSI 2021: 272-277 - [c230]Mahta Mayahinia, Christopher Münch, Mehdi B. Tahoori:
Analyzing and Mitigating Sensing Failures in Spintronic-based Computing in Memory. ITC 2021: 268-277 - [c229]Sergej Meschkov, Dennis R. E. Gnad, Jonas Krautter, Mehdi B. Tahoori:
Is your secure test infrastructure secure enough? : Attacks based on delay test patterns using transient behavior analysis. ITC 2021: 334-338 - [i8]Mostafa Kishani, Mehdi B. Tahoori, Hossein Asadi:
Dependability Analysis of Data Storage Systems in Presence of Soft Errors. CoRR abs/2112.12520 (2021) - 2020
- [j87]Veronika Ulianova, Farhan Rasheed, Sami Bolat, Galo Torres Sevilla, Yurii Didenko, Xiaowei Feng, Ivan Shorubalko, Dominik Bachmann, Dmytro Tatarchuk, Mehdi B. Tahoori, Jasmin Aghassi-Hagmann, Yaroslav E. Romanyuk:
Fabrication, Characterization and Simulation of Sputtered Pt/In-Ga-Zn-O Schottky Diodes for Low-Frequency Half-Wave Rectifier Circuits. IEEE Access 8: 111783-111790 (2020) - [j86]Dennis R. E. Gnad, Jonas Krautter, Mehdi Baradaran Tahoori, Falk Schellenberg, Amir Moradi:
Remote Electrical-level Security Threats to Multi-Tenant FPGAs. IEEE Des. Test 37(2): 111-119 (2020) - [j85]Farhan Rasheed, Michael Hefenbrock, Rajendra Bishnoi, Michael Beigl, Jasmin Aghassi-Hagmann, Mehdi Baradaran Tahoori:
Crossover-aware Placement and Routing for Inkjet Printed Circuits. ACM J. Emerg. Technol. Comput. Syst. 16(2): 19:1-19:22 (2020) - [j84]Nour Sayed, Rajendra Bishnoi, Mehdi B. Tahoori:
Approximate Spintronic Memories. ACM J. Emerg. Technol. Comput. Syst. 16(4): 43:1-43:22 (2020) - [j83]Mohammad Saber Golanbari, Saman Kiamehr, Mojtaba Ebrahimi, Mehdi Baradaran Tahoori:
Selective Flip-Flop Optimization for Reliable Digital Circuit Design. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 39(7): 1484-1497 (2020) - [j82]Dennis D. Weller, Michael Hefenbrock, Mohammad Saber Golanbari, Michael Beigl, Jasmin Aghassi-Hagmann, Mehdi B. Tahoori:
Bayesian Optimized Mixture Importance Sampling for High-Sigma Failure Rate Estimation. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 39(10): 2772-2783 (2020) - [j81]Yan Li, Xu Cheng, Chiyu Tan, Jun Han, Yuanfu Zhao, Liang Wang, Tongde Li, Mehdi B. Tahoori, Xiaoyang Zeng:
A Robust Hardened Latch Featuring Tolerance to Double-Node-Upset in 28nm CMOS for Spaceborne Application. IEEE Trans. Circuits Syst. II Express Briefs 67-II(9): 1619-1623 (2020) - [j80]Jonas Krautter, Dennis Gnad, Mehdi Baradaran Tahoori:
CPAmap: On the Complexity of Secure FPGA Virtualization, Multi-Tenancy, and Physical Design. IACR Trans. Cryptogr. Hardw. Embed. Syst. 2020(3): 121-146 (2020) - [j79]Ahmet Turan Erozan, Michael Hefenbrock, Michael Beigl, Jasmin Aghassi-Hagmann, Mehdi Baradaran Tahoori:
Reverse Engineering of Printed Electronics Circuits: From Imaging to Netlist Extraction. IEEE Trans. Inf. Forensics Secur. 15: 475-486 (2020) - [j78]Arunkumar Vijayan, Mehdi B. Tahoori, Krishnendu Chakrabarty:
Runtime Identification of Hardware Trojans by Feature Analysis on Gate-Level Unstructured Data and Anomaly Detection. ACM Trans. Design Autom. Electr. Syst. 25(4): 33:1-33:23 (2020) - [j77]Samir Ben Dodo, Rajendra Bishnoi, Mehdi Baradaran Tahoori:
Secure STT-MRAM Bit-Cell Design Resilient to Differential Power Analysis Attacks. IEEE Trans. Very Large Scale Integr. Syst. 28(1): 263-272 (2020) - [j76]Mohammad Saber Golanbari, Saman Kiamehr, Fabian Oboril, Anteneh Gebregiorgis, Mehdi Baradaran Tahoori:
Achieving Energy Efficiency for Near-Threshold Circuits Through Postfabrication Calibration and Adaptation. IEEE Trans. Very Large Scale Integr. Syst. 28(2): 443-455 (2020) - [j75]Ahmet Turan Erozan, Guan Ying Wang, Rajendra Bishnoi, Jasmin Aghassi-Hagmann, Mehdi Baradaran Tahoori:
A Compact Low-Voltage True Random Number Generator Based on Inkjet Printing Technology. IEEE Trans. Very Large Scale Integr. Syst. 28(6): 1485-1495 (2020) - [j74]Ahmet Turan Erozan, Dennis D. Weller, Farhan Rasheed, Rajendra Bishnoi, Jasmin Aghassi-Hagmann, Mehdi Baradaran Tahoori:
A Novel Printed-Lookup-Table-Based Programmable Printed Digital Circuit. IEEE Trans. Very Large Scale Integr. Syst. 28(6): 1496-1504 (2020) - [j73]Ahmet Turan Erozan, Dennis D. Weller, Yijing Feng, Gabriel Cadilha Marques, Jasmin Aghassi-Hagmann, Mehdi B. Tahoori:
A Printed Camouflaged Cell Against Reverse Engineering of Printed Electronics Circuits. IEEE Trans. Very Large Scale Integr. Syst. 28(11): 2448-2458 (2020) - [c228]Christopher Münch, Rajendra Bishnoi, Mehdi Baradaran Tahoori:
Tolerating Retention Failures in Neuromorphic Fabric based on Emerging Resistive Memories. ASP-DAC 2020: 393-400 - [c227]Dennis D. Weller, Michael Hefenbrock, Mehdi Baradaran Tahoori, Jasmin Aghassi-Hagmann, Michael Beigl:
Programmable Neuromorphic Circuit based on Printed Electrolyte-Gated Transistors. ASP-DAC 2020: 446-451 - [c226]Yan Li, Xiaoyoung Zeng, Zhengqi Gao, Liyu Lin, Jun Tao, Jun Han, Xu Cheng, Mehdi B. Tahoori, Xiaoyang Zeng:
Exploring a Bayesian Optimization Framework Compatible with Digital Standard Flow for Soft-Error-Tolerant Circuit. DAC 2020: 1-6 - [c225]Michael Hefenbrock, Dennis D. Weller, Michael Beigl, Mehdi Baradaran Tahoori:
Fast and Accurate High-Sigma Failure Rate Estimation through Extended Bayesian Optimized Importance Sampling. DATE 2020: 103-108 - [c224]Mehdi Baradaran Tahoori, Sarath Mohanachandran Nair, Rajendra Bishnoi, Lionel Torres, Sophiane Senni, Guillaume Patrigeon, Pascal Benoit, Gregory di Pendina, Guillaume Prenat:
A Universal Spintronic Technology based on Multifunctional Standardized Stack. DATE 2020: 394-399 - [c223]Sarath Mohanachandran Nair, Rajendra Bishnoi, Arunkumar Vijayan, Mehdi Baradaran Tahoori:
Dynamic Faults based Hardware Trojan Design in STT-MRAM. DATE 2020: 933-938 - [c222]Lukas Zimmermann, Alexander Scholz, Mehdi B. Tahoori, Axel Sikora, Jasmin Aghassi-Hagmann:
Hardware-Intrinsic Security with Printed Electronics for Identification of IoE Devices. ECCTD 2020: 1-4 - [c221]Moritz Fieback, Surya Nagarajan, Rajendra Bishnoi, Mehdi B. Tahoori, Mottaqiallah Taouil, Said Hamdioui:
Testing Scouting Logic-Based Computation-in-Memory Architectures. ETS 2020: 1-6 - [c220]Sarath Mohanachandran Nair, Christopher Münch, Mehdi Baradaran Tahoori:
Defect Characterization and Test Generation for Spintronic-based Compute-In-Memory. ETS 2020: 1-6 - [c219]Christopher Münch, Mehdi B. Tahoori:
Defect Characterization of Spintronic-based Neuromorphic Circuits. IOLTS 2020: 1-4 - [c218]Sarath Mohanachandran Nair, Rajendra Bishnoi, Mehdi B. Tahoori, Houman Zahedmanesh, Kristof Croes, Kevin Garello, Gouri Sankar Kar, Francky Catthoor:
Physics based modeling of bimodal electromigration failure distributions and variation analysis for VLSI interconnects. IRPS 2020: 1-5 - [c217]Nathaniel Bleier, Muhammad Husnain Mubarik, Farhan Rasheed, Jasmin Aghassi-Hagmann, Mehdi B. Tahoori, Rakesh Kumar:
Printed Microprocessors. ISCA 2020: 213-226 - [c216]Muhammad Husnain Mubarik, Dennis D. Weller, Nathaniel Bleier, Matthew Tomei, Jasmin Aghassi-Hagmann, Mehdi B. Tahoori, Rakesh Kumar:
Printed Machine Learning Classifiers. MICRO 2020: 73-87 - [c215]Rajendra Bishnoi, Lizhou Wu, Moritz Fieback, Christopher Münch, Sarath Mohanachandran Nair, Mehdi Baradaran Tahoori, Ying Wang, Huawei Li, Said Hamdioui:
Special Session - Emerging Memristor Based Memory and CIM Architecture: Test, Repair and Yield Analysis. VTS 2020: 1-10 - [c214]Sarath Mohanachandran Nair, Rajendra Bishnoi, Mehdi Baradaran Tahoori:
Mitigating Read Failures in STT-MRAM. VTS 2020: 1-6
2010 – 2019
- 2019
- [j72]Yuan-Hao Chang, Jingtong Hu, Mehdi Baradaran Tahoori, Ronald F. DeMara:
Guest Editorial: IEEE Transactions on Computers Special Section on Emerging Non-Volatile Memory Technologies: From Devices to Architectures and Systems. IEEE Trans. Computers 68(8): 1111-1113 (2019) - [j71]Anteneh Gebregiorgis, Rajendra Bishnoi, Mehdi Baradaran Tahoori:
A Comprehensive Reliability Analysis Framework for NTC Caches: A System to Device Approach. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 38(3): 439-452 (2019) - [j70]Shengcheng Wang, Krishnendu Chakrabarty, Mehdi Baradaran Tahoori:
Defect Clustering-Aware Spare-TSV Allocation in 3-D ICs for Yield Enhancement. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 38(10): 1928-1941 (2019) - [j69]Dennis R. E. Gnad, Jonas Krautter, Mehdi Baradaran Tahoori:
Leaky Noise: New Side-Channel Attack Vectors in Mixed-Signal IoT Devices. IACR Trans. Cryptogr. Hardw. Embed. Syst. 2019(3): 305-339 (2019) - [j68]Nour Sayed, Longfei Mao, Rajendra Bishnoi, Mehdi Baradaran Tahoori:
Compiler-Assisted and Profiling-Based Analysis for Fast and Efficient STT-MRAM On-Chip Cache Design. ACM Trans. Design Autom. Electr. Syst. 24(4): 41:1-41:25 (2019) - [j67]Mostafa Kishani, Mehdi Baradaran Tahoori, Hossein Asadi:
Dependability Analysis of Data Storage Systems in Presence of Soft Errors. IEEE Trans. Reliab. 68(1): 201-215 (2019) - [j66]Jonas Krautter, Dennis R. E. Gnad, Mehdi Baradaran Tahoori:
Mitigating Electrical-level Attacks towards Secure Multi-Tenant FPGAs in the Cloud. ACM Trans. Reconfigurable Technol. Syst. 12(3): 12:1-12:26 (2019) - [j65]Nour Sayed, Rajendra Bishnoi, Mehdi Baradaran Tahoori:
Fast and Reliable STT-MRAM Using Nonuniform and Adaptive Error Detecting and Correcting Scheme. IEEE Trans. Very Large Scale Integr. Syst. 27(6): 1329-1342 (2019) - [j64]Sarath Mohanachandran Nair, Rajendra Bishnoi, Mehdi Baradaran Tahoori:
A Comprehensive Framework for Parametric Failure Modeling and Yield Analysis of STT-MRAM. IEEE Trans. Very Large Scale Integr. Syst. 27(7): 1697-1710 (2019) - [j63]Lukas Zimmermann, Alexander Scholz, Mehdi Baradaran Tahoori, Jasmin Aghassi-Hagmann, Axel Sikora:
Design and Evaluation of a Printed Analog-Based Differential Physical Unclonable Function. IEEE Trans. Very Large Scale Integr. Syst. 27(11): 2498-2510 (2019) - [j62]Samir Ben Dodo, Rajendra Bishnoi, Sarath Mohanachandran Nair, Mehdi Baradaran Tahoori:
A Spintronics Memory PUF for Resilience Against Cloning Counterfeit. IEEE Trans. Very Large Scale Integr. Syst. 27(11): 2511-2522 (2019) - [j61]Rana Elnaggar, Krishnendu Chakrabarty, Mehdi Baradaran Tahoori:
Hardware Trojan Detection Using Changepoint-Based Anomaly Detection Techniques. IEEE Trans. Very Large Scale Integr. Syst. 27(12): 2706-2719 (2019) - [c213]Christopher Münch, Rajendra Bishnoi, Mehdi Baradaran Tahoori:
Reliable in-memory neuromorphic computing using spintronics. ASP-DAC 2019: 230-236 - [c212]Farhan Rasheed, Michael Hefenbrock, Rajendra Bishnoi, Michael Beigl, Jasmin Aghassi-Hagmann, Mehdi Baradaran Tahoori:
Predictive Modeling and Design Automation of Inorganic Printed Electronics. DATE 2019: 30-35 - [c211]Anteneh Gebregiorgis, Mehdi Baradaran Tahoori:
Test Pattern Generation for Approximate Circuits Based on Boolean Satisfiability. DATE 2019: 1028-1033 - [c210]Ahmet Turan Erozan, Rajendra Bishnoi, Jasmin Aghassi-Hagmann, Mehdi Baradaran Tahoori:
Inkjet-Printed True Random Number Generator based on Additive Resistor Tuning. DATE 2019: 1361-1366 - [c209]Dennis D. Weller, Michael Hefenbrock, Mohammad Saber Golanbari, Michael Beigl, Mehdi Baradaran Tahoori:
Bayesian Optimized Importance Sampling for High Sigma Failure Rate Estimation. DATE 2019: 1667-1672 - [c208]Jonas Krautter, Dennis R. E. Gnad, Falk Schellenberg, Amir Moradi, Mehdi Baradaran Tahoori:
Active Fences against Voltage-based Side Channels in Multi-Tenant FPGAs. ICCAD 2019: 1-8 - [c207]Sarath Mohanachandran Nair, Rajendra Bishnoi, Mehdi Baradaran Tahoori, Hayk T. Grigoryan, Grigor Tshagharyan:
Variation-aware Fault Modeling and Test Generation for STT-MRAM. IOLTS 2019: 80-83 - [c206]Sarath Mohanachandran Nair, Rajendra Bishnoi, Mehdi Baradaran Tahoori, Houman Zahedmanesh, Kristof Croes, Kevin Garello, Gouri Sankar Kar, Francky Catthoor:
Variation-Aware Physics-Based Electromigration Modeling and Experimental Calibration for VLSI Interconnects. IRPS 2019: 1-6 - [c205]Stephan Eggersglüß, Said Hamdioui, Artur Jutman, Maria K. Michael, Jaan Raik, Matteo Sonza Reorda, Mehdi Baradaran Tahoori, Elena-Ioana Vatajelu:
IEEE European Test Symposium (ETS). ITC 2019: 1-4 - [c204]Anteneh Gebregiorgis, Mehdi Baradaran Tahoori:
Testing of Neuromorphic Circuits: Structural vs Functional. ITC 2019: 1-10 - [e1]Sheldon X.-D. Tan, Mehdi Baradaran Tahoori, Taeyoung Kim, Shengcheng Wang, Zeyu Sun, Saman Kiamehr:
Long-Term Reliability of Nanometer VLSI Systems, Modeling, Analysis and Optimization. Springer 2019, ISBN 978-3-030-26171-9 - [i7]Jonas Krautter, Dennis R. E. Gnad, Falk Schellenberg, Amir Moradi, Mehdi Baradaran Tahoori:
Active Fences against Voltage-based Side Channels in Multi-Tenant FPGAs. IACR Cryptol. ePrint Arch. 2019: 1152 (2019) - [i6]Dennis R. E. Gnad, Cong Dang Khoa Nguyen, Syed Hashim Gillani, Mehdi Baradaran Tahoori:
Voltage-based Covert Channels in Multi-Tenant FPGAs. IACR Cryptol. ePrint Arch. 2019: 1394 (2019) - [i5]Ahmet Turan Erozan, Michael Hefenbrock, Michael Beigl, Jasmin Aghassi-Hagmann, Mehdi Baradaran Tahoori:
Image PUF: A Physical Unclonable Function for Printed Electronics based on Optical Variation of Printed Inks. IACR Cryptol. ePrint Arch. 2019: 1419 (2019) - 2018
- [j60]Arunkumar Vijayan, Saman Kiamehr, Mojtaba Ebrahimi, Krishnendu Chakrabarty, Mehdi Baradaran Tahoori:
Online Soft-Error Vulnerability Estimation for Memory Arrays and Logic Cores. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 37(2): 499-511 (2018) - [j59]Arunkumar Vijayan, Abhishek Koneru, Saman Kiamehr, Krishnendu Chakrabarty, Mehdi Baradaran Tahoori:
Fine-Grained Aging-Induced Delay Prediction Based on the Monitoring of Run-Time Stress. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 37(5): 1064-1075 (2018) - [j58]Sarath Mohanachandran Nair, Rajendra Bishnoi, Mohammad Saber Golanbari, Fabian Oboril, Fazal Hameed, Mehdi Baradaran Tahoori:
VAET-STT: Variation Aware STT-MRAM Analysis and Design Space Exploration Tool. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 37(7): 1396-1407 (2018) - [j57]Arunkumar Vijayan, Saman Kiamehr, Fabian Oboril, Krishnendu Chakrabarty, Mehdi Baradaran Tahoori:
Workload-Aware Static Aging Monitoring and Mitigation of Timing-Critical Flip-Flops. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 37(10): 2098-2110 (2018) - [j56]Jonas Krautter, Dennis R. E. Gnad, Mehdi Baradaran Tahoori:
FPGAhammer: Remote Voltage Fault Attacks on Shared FPGAs, suitable for DFA on AES. IACR Trans. Cryptogr. Hardw. Embed. Syst. 2018(3): 44-68 (2018) - [j55]Shengcheng Wang, Ran Wang, Krishnendu Chakrabarty, Mehdi Baradaran Tahoori:
Multicast Testing of Interposer-Based 2.5D ICs: Test-Architecture Design and Test Scheduling. ACM Trans. Design Autom. Electr. Syst. 23(3): 35:1-35:25 (2018) - [j54]Anteneh Gebregiorgis, Mehdi Baradaran Tahoori:
Fine-Grained Energy-Constrained Microprocessor Pipeline Design. IEEE Trans. Very Large Scale Integr. Syst. 26(3): 457-469 (2018) - [j53]Shengcheng Wang, Taeyoung Kim, Zeyu Sun, Sheldon X.-D. Tan, Mehdi Baradaran Tahoori:
Recovery-Aware Proactive TSV Repair for Electromigration Lifetime Enhancement in 3-D ICs. IEEE Trans. Very Large Scale Integr. Syst. 26(3): 531-543 (2018) - [j52]Dennis R. E. Gnad, Fabian Oboril, Saman Kiamehr, Mehdi Baradaran Tahoori:
An Experimental Evaluation and Analysis of Transient Voltage Fluctuations in FPGAs. IEEE Trans. Very Large Scale Integr. Syst. 26(10): 1817-1830 (2018) - [j51]Ahmet Turan Erozan, Gabriel Cadilha Marques, Mohammad Saber Golanbari, Rajendra Bishnoi, Simone Dehm, Jasmin Aghassi-Hagmann, Mehdi Baradaran Tahoori:
Inkjet-Printed EGFET-Based Physical Unclonable Function - Design, Evaluation, and Fabrication. IEEE Trans. Very Large Scale Integr. Syst. 26(12): 2935-2946 (2018) - [c203]Nour Sayed, Sarath Mohanachandran Nair, Rajendra Bishnoi, Mehdi Baradaran Tahoori:
Process variation and temperature aware adaptive scrubbing for retention failures in STT-MRAM. ASP-DAC 2018: 203-208 - [c202]Mohammad Saber Golanbari, Anteneh Gebregiorgis, Elyas Moradi, Saman Kiamehr, Mehdi Baradaran Tahoori:
Balancing resiliency and energy efficiency of functional units in ultra-low power systems. ASP-DAC 2018: 637-644 - [c201]Gabriel Cadilha Marques, Farhan Rasheed, Jasmin Aghassi-Hagmann, Mehdi Baradaran Tahoori:
From silicon to printed electronics: A coherent modeling and design flow approach based on printed electrolyte gated FETs. ASP-DAC 2018: 658-663 - [c200]Mohammad Saber Golanbari, Mehdi Baradaran Tahoori:
Runtime adjustment of IoT system-on-chips for minimum energy operation. DAC 2018: 145:1-145:6 - [c199]Anteneh Gebregiorgis, Rajendra Bishnoi, Mehdi Baradaran Tahoori:
Spintronic normally-off heterogeneous system-on-chip design. DATE 2018: 113-118 - [c198]Sarath Mohanachandran Nair, Rajendra Bishnoi, Mehdi Baradaran Tahoori:
Parametric failure modeling and yield analysis for STT-MRAM. DATE 2018: 265-268 - [c197]Nour Sayed, Rajendra Bishnoi, Fabian Oboril, Mehdi Baradaran Tahoori:
A cross-layer adaptive approach for performance and power optimization in STT-MRAM. DATE 2018: 791-796 - [c196]Mehdi Baradaran Tahoori, Sarath Mohanachandran Nair, Rajendra Bishnoi, Sophiane Senni, Jad Mohdad, Frédérick Mailly, Lionel Torres, Pascal Benoit, Abdoulaye Gamatié, Pascal Nouet, Frederic Ouattara, Gilles Sassatelli, Kotb Jabeur, Pierre Vanhauwaert, A. Atitoaie, I. Firastrau, Gregory di Pendina, Guillaume Prenat:
Using multifunctional standardized stack as universal spintronic technology for IoT. DATE 2018: 931-936 - [c195]Falk Schellenberg, Dennis R. E. Gnad, Amir Moradi, Mehdi Baradaran Tahoori:
An inside job: Remote power analysis attacks on FPGAs. DATE 2018: 1111-1116 - [c194]Christopher Münch, Rajendra Bishnoi, Mehdi Baradaran Tahoori:
Multi-bit non-volatile spintronic flip-flop. DATE 2018: 1229-1234 - [c193]Dennis R. E. Gnad, Sascha Rapp, Jonas Krautter, Mehdi Baradaran Tahoori:
Checking for Electrical Level Security Threats in Bitstreams for Multi-tenant FPGAs. FPT 2018: 286-289 - [c192]Falk Schellenberg, Dennis R. E. Gnad, Amir Moradi, Mehdi Baradaran Tahoori:
Remote inter-chip power analysis side-channel attacks at board-level. ICCAD 2018: 114 - [c191]Anteneh Gebregiorgis, Mehdi Baradaran Tahoori:
Reliability And Performance Challenges Of Ultra-Low Voltage Caches: A Trade-Off Analysis. IOLTS 2018: 265-268 - [c190]Mohammad Saber Golanbari, Saman Kiamehr, Rajendra Bishnoi, Mehdi Baradaran Tahoori:
Reliable memory PUF design for low-power applications. ISQED 2018: 207-213 - [c189]Ahmet Turan Erozan, Mohammad Saber Golanbari, Rajendra Bishnoi, Jasmin Aghassi-Hagmann, Mehdi Baradaran Tahoori:
Design and evaluation of physical unclonable function for inorganic printed electronics. ISQED 2018: 419-424 - [c188]Sarath Mohanachandran Nair, Rajendra Bishnoi, Mehdi Baradaran Tahoori, Grigor Tshagharyan, Hayk T. Grigoryan, Gurgen Harutyunyan, Yervant Zorian:
Defect injection, Fault Modeling and Test Algorithm Generation Methodology for STT-MRAM. ITC 2018: 1-10 - [c187]Grigor Tshagharyan, Gurgen Harutyunyan, Yervant Zorian, Anteneh Gebregiorgis, Mohammad Saber Golanbari, Rajendra Bishnoi, Mehdi Baradaran Tahoori:
Modeling and Testing of Aging Faults in FinFET Memories for Automotive Applications. ITC 2018: 1-10 - [c186]Mohammad Saber Golanbari, Mehdi Baradaran Tahoori:
Optimizing Datapaths for Near Threshold Computing. SMACD 2018: 69-72 - [i4]Falk Schellenberg, Dennis R. E. Gnad, Amir Moradi, Mehdi Baradaran Tahoori:
An Inside Job: Remote Power Analysis Attacks on FPGAs. IACR Cryptol. ePrint Arch. 2018: 12 (2018) - [i3]Falk Schellenberg, Dennis R. E. Gnad, Amir Moradi, Mehdi Baradaran Tahoori:
Remote Inter-Chip Power Analysis Side-Channel Attacks at Board-Level. IACR Cryptol. ePrint Arch. 2018: 881 (2018) - 2017
- [j50]Navid Khoshavi, Rizwan A. Ashraf, Ronald F. DeMara, Saman Kiamehr, Fabian Oboril, Mehdi Baradaran Tahoori:
Contemporary CMOS aging mitigation techniques: Survey, taxonomy, and methods. Integr. 59: 10-22 (2017) - [j49]Dan Alexandrescu, Mustafa Altun, Lorena Anghel, Anna Bernasconi, Valentina Ciriani, Luca Frontini, Mehdi Baradaran Tahoori:
Logic synthesis and testing techniques for switching nano-crossbar arrays. Microprocess. Microsystems 54: 14-25 (2017) - [j48]Nezam Rohbani, Mojtaba Ebrahimi, Seyed Ghassem Miremadi, Mehdi Baradaran Tahoori:
Bias Temperature Instability Mitigation via Adaptive Cache Size Management. IEEE Trans. Very Large Scale Integr. Syst. 25(3): 1012-1022 (2017) - [j47]Rajendra Bishnoi, Fabian Oboril, Mehdi Baradaran Tahoori:
Design of Defect and Fault-Tolerant Nonvolatile Spintronic Flip-Flops. IEEE Trans. Very Large Scale Integr. Syst. 25(4): 1421-1432 (2017) - [j46]Saman Kiamehr, Mojtaba Ebrahimi, Mohammad Saber Golanbari, Mehdi Baradaran Tahoori:
Temperature-Aware Dynamic Voltage Scaling to Improve Energy Efficiency of Near-Threshold Computing. IEEE Trans. Very Large Scale Integr. Syst. 25(7): 2017-2026 (2017) - [j45]Shengcheng Wang, Mehdi Baradaran Tahoori:
Electromigration-Aware Local-Via Allocation in Power/Ground TSVs of 3-D ICs. IEEE Trans. Very Large Scale Integr. Syst. 25(10): 2881-2892 (2017) - [c185]Arunkumar Vijayan, Saman Kiamehr, Fabian Oboril, Krishnendu Chakrabarty, Mehdi Baradaran Tahoori:
Workload-aware static aging monitoring of timing-critical flip-flops. ASP-DAC 2017: 176-181 - [c184]Kentaro Iwata, Amir Masoud Gharehbaghi, Mehdi Baradaran Tahoori, Masahiro Fujita:
Post Silicon Debugging of Electrical Bugs Using Trace Buffers. ATS 2017: 189-194 - [c183]Anteneh Gebregiorgis, Saman Kiamehr, Mehdi Baradaran Tahoori:
Error Propagation Aware Timing Relaxation For Approximate Near Threshold Computing. DAC 2017: 77:1-77:6 - [c182]Shengcheng Wang, Hengyang Zhao, Sheldon X.-D. Tan, Mehdi Baradaran Tahoori:
Recovery-aware proactive TSV repair for electromigration in 3D ICs. DATE 2017: 220-225 - [c181]Mustafa Altun, Valentina Ciriani, Mehdi Baradaran Tahoori:
Computing with nano-crossbar arrays: Logic synthesis and fault tolerance. DATE 2017: 278-281 - [c180]Nour Sayed, Mojtaba Ebrahimi, Rajendra Bishnoi, Mehdi Baradaran Tahoori:
Opportunistic write for fast and reliable STT-MRAM. DATE 2017: 554-559 - [c179]Saman Kiamehr, Mohammad Saber Golanbari, Mehdi Baradaran Tahoori:
Leveraging aging effect to improve SRAM-based true random number generators. DATE 2017: 882-885 - [c178]Sarath Mohanachandran Nair, Rajendra Bishnoi, Mohammad Saber Golanbari, Fabian Oboril, Mehdi Baradaran Tahoori:
VAET-STT: A variation aware estimator tool for STT-MRAM based memories. DATE 2017: 1456-1461 - [c177]Mohammad Saber Golanbari, Nour Sayed, Mojtaba Ebrahimi, Mohammad Hadi Moshrefpour Esfahany, Saman Kiamehr, Mehdi Baradaran Tahoori:
Aging-aware coding scheme for memory arrays. ETS 2017: 1-6 - [c176]Nour Sayed, Fabian Oboril, Azadeh Shirvanian, Rajendra Bishnoi, Mehdi Baradaran Tahoori:
Exploiting STT-MRAM for approximate computing. ETS 2017: 1-6 - [c175]Dennis Weller, Fabian Oboril, Dimitar Lukarski, Jürgen Becker, Mehdi Baradaran Tahoori:
Energy Efficient Scientific Computing on FPGAs using OpenCL. FPGA 2017: 247-256 - [c174]Dennis R. E. Gnad, Fabian Oboril, Mehdi Baradaran Tahoori:
Voltage drop-based fault attacks on FPGAs using valid bitstreams. FPL 2017: 1-7 - [c173]Shengcheng Wang, Zeyu Sun, Yuan Cheng, Sheldon X.-D. Tan, Mehdi Baradaran Tahoori:
Leveraging recovery effect to reduce electromigration degradation in power/ground TSV. ICCAD 2017: 811-818 - [c172]Mohammad Saber Golanbari, Mehdi Baradaran Tahoori:
Design flows for resilient energy-efficient systems. IOLTS 2017: 233-236 - [c171]Mohammad Saber Golanbari, Saman Kiamehr, Fabian Oboril, Anteneh Gebregiorgis, Mehdi Baradaran Tahoori:
Post-fabrication calibration of Near-Threshold circuits for energy efficiency. ISQED 2017: 385-390 - [c170]Sparsh Mittal, Rajendra Bishnoi, Fabian Oboril, Haonan Wang, Mehdi Baradaran Tahoori, Adwait Jog, Jeffrey S. Vetter:
Architecting SOT-RAM Based GPU Register File. ISVLSI 2017: 38-44 - [c169]Mehdi Baradaran Tahoori, Sarath Mohanachandran Nair, Rajendra Bishnoi, Sophiane Senni, Jad Mohdad, Frédérick Mailly, Lionel Torres, Pascal Benoit, Pascal Nouet, Rui Ma, Martin Kreißig, Frank Ellinger, Kotb Jabeur, Pierre Vanhauwaert, Gregory di Pendina, Guillaume Prenat:
GREAT: HeteroGeneous IntegRated Magnetic tEchnology Using Multifunctional Standardized sTack. ISVLSI 2017: 344-349 - [c168]Rana Elnaggar, Krishnendu Chakrabarty, Mehdi Baradaran Tahoori:
Run-time hardware trojan detection using performance counters. ITC 2017: 1-10 - [c167]Nour Sayed, Fabian Oboril, Rajendra Bishnoi, Mehdi Baradaran Tahoori:
Leveraging Systematic Unidirectional Error-Detecting Codes for fast STT-MRAM cache. VTS 2017: 1-6 - 2016
- [j44]Mojtaba Ebrahimi, Hossein Asadi, Rajendra Bishnoi, Mehdi Baradaran Tahoori:
Layout-Based Modeling and Mitigation of Multiple Event Transients. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 35(3): 367-379 (2016) - [j43]Fangming Ye, Farshad Firouzi, Yang Yang, Krishnendu Chakrabarty, Mehdi Baradaran Tahoori:
On-Chip Droop-Induced Circuit Delay Prediction Based on Support-Vector Machines. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 35(4): 665-678 (2016) - [j42]Abdulazim Amouri, Jochen Hepp, Mehdi Baradaran Tahoori:
Built-In Self-Heating Thermal Testing of FPGAs. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 35(9): 1546-1556 (2016) - [j41]Guillaume Prenat, Kotb Jabeur, Pierre Vanhauwaert, Gregory di Pendina, Fabian Oboril, Rajendra Bishnoi, Mojtaba Ebrahimi, Nathalie Lamard, Olivier Boulle, Kevin Garello, Juergen Langer, Berthold Ocker, Marie Claire Cyrille, Pietro Gambardella, Mehdi Baradaran Tahoori, Gilles Gaudin:
Ultra-Fast and High-Reliability SOT-MRAM: From Cache Replacement to Normally-Off Computing. IEEE Trans. Multi Scale Comput. Syst. 2(1): 49-60 (2016) - [j40]Liang Chen, Mojtaba Ebrahimi, Mehdi Baradaran Tahoori:
Reliability-Aware Resource Allocation and Binding in High-Level Synthesis. ACM Trans. Design Autom. Electr. Syst. 21(2): 30:1-30:27 (2016) - [j39]Mojtaba Ebrahimi, Parthasarathy Murali B. Rao, Razi Seyyedi, Mehdi Baradaran Tahoori:
Low-Cost Multiple Bit Upset Correction in SRAM-Based FPGA Configuration Frames. IEEE Trans. Very Large Scale Integr. Syst. 24(3): 932-943 (2016) - [j38]Rajendra Bishnoi, Fabian Oboril, Mojtaba Ebrahimi, Mehdi Baradaran Tahoori:
Self-Timed Read and Write Operations in STT-MRAM. IEEE Trans. Very Large Scale Integr. Syst. 24(5): 1783-1793 (2016) - [c166]Rajendra Bishnoi, Fabian Oboril, Mehdi Baradaran Tahoori:
Non-Volatile Non-Shadow flip-flop using Spin Orbit Torque for efficient normally-off computing. ASP-DAC 2016: 769-774 - [c165]Mehdi Baradaran Tahoori, Krishnendu Chakrabarty:
Test and Reliability Issues in 2.5D and 3D Integration. ATS 2016: 73 - [c164]Shengcheng Wang, Ran Wang, Krishnendu Chakrabarty, Mehdi Baradaran Tahoori:
Multicast Test Architecture and Test Scheduling for Interposer-Based 2.5D ICs. ATS 2016: 86-91 - [c163]Rizwan A. Ashraf, Navid Khoshavi, Ahmad Alzahrani, Ronald F. DeMara, Saman Kiamehr, Mehdi Baradaran Tahoori:
Area-energy tradeoffs of logic wear-leveling for BTI-induced aging. Conf. Computing Frontiers 2016: 37-44 - [c162]Mojtaba Ebrahimi, Mohammad Hadi Moshrefpour, Mohammad Saber Golanbari, Mehdi Baradaran Tahoori:
Fault injection acceleration by simultaneous injection of non-interacting faults. DAC 2016: 25:1-25:6 - [c161]Mojtaba Ebrahimi, Mehdi Baradaran Tahoori:
Invited - Cross-layer approaches for soft error modeling and mitigation. DAC 2016: 32:1-32:6 - [c160]Fabian Oboril, Mehdi Baradaran Tahoori:
Cross-Layer Approaches for an Aging-Aware Design Space Exploration for Microprocessors. ERMAVSS@DATE 2016: 5-8 - [c159]Anteneh Gebregiorgis, Saman Kiamehr, Fabian Oboril, Rajendra Bishnoi, Mehdi Baradaran Tahoori:
A cross-layer analysis of Soft Error, aging and process variation in Near Threshold Computing. DATE 2016: 205-210 - [c158]Rajendra Bishnoi, Fabian Oboril, Mehdi Baradaran Tahoori:
Fault Tolerant Non-Volatile spintronic flip-flop. DATE 2016: 261-264 - [c157]Mohammad Saber Golanbari, Saman Kiamehr, Mojtaba Ebrahimi, Mehdi Baradaran Tahoori:
Variation-aware near threshold circuit synthesis. DATE 2016: 1237-1242 - [c156]Shengcheng Wang, Mehdi Baradaran Tahoori, Krishnendu Chakrabarty:
Thermal-aware TSV repair for electromigration in 3D ICs. DATE 2016: 1291-1296 - [c155]Firas Kaddachi, Maha Kooli, Giorgio Di Natale, Alberto Bosio, Mojtaba Ebrahimi, Mehdi Baradaran Tahoori:
System-level reliability evaluation through cache-aware software-based fault injection. DDECS 2016: 9-14 - [c154]Dan Alexandrescu, Mustafa Altun, Lorena Anghel, Anna Bernasconi, Valentina Ciriani, Luca Frontini, Mehdi Baradaran Tahoori:
Synthesis and Performance Optimization of a Switching Nano-Crossbar Computer. DSD 2016: 334-341 - [c153]Dennis R. E. Gnad, Fabian Oboril, Saman Kiamehr, Mehdi Baradaran Tahoori:
Analysis of transient voltage fluctuations in FPGAs. FPT 2016: 12-19 - [c152]Saman Kiamehr, Mojtaba Ebrahimi, Mehdi Baradaran Tahoori:
Temperature-aware Dynamic Voltage Scaling for Near-Threshold Computing. ACM Great Lakes Symposium on VLSI 2016: 361-364 - [c151]Rajendra Bishnoi, Fabian Oboril, Mehdi Baradaran Tahoori:
Low-Power Multi-Port Memory Architecture based on Spin Orbit Torque Magnetic Devices. ACM Great Lakes Symposium on VLSI 2016: 409-414 - [c150]Mohammad Saber Golanbari, Anteneh Gebregiorgis, Fabian Oboril, Saman Kiamehr, Mehdi Baradaran Tahoori:
A cross-layer approach for resiliency and energy efficiency in near threshold computing. ICCAD 2016: 71 - [c149]Mojtaba Ebrahimi, Maryam Rashvand, Firas Kaddachi, Mehdi Baradaran Tahoori, Giorgio Di Natale:
Revisiting software-based soft error mitigation techniques via accurate error generation and propagation models. IOLTS 2016: 66-71 - [c148]Fabian Oboril, Fazal Hameed, Rajendra Bishnoi, Ali Ahari, Helia Naeimi, Mehdi Baradaran Tahoori:
Normally-OFF STT-MRAM Cache with Zero-Byte Compression for Energy Efficient Last-Level Caches. ISLPED 2016: 236-241 - [c147]Anteneh Gebregiorgis, Mohammad Saber Golanbari, Saman Kiamehr, Fabian Oboril, Mehdi Baradaran Tahoori:
Maximizing Energy Efficiency in NTC by Variation-Aware Microprocessor Pipeline Optimization. ISLPED 2016: 272-277 - [c146]Fazal Hameed, Mehdi Baradaran Tahoori:
Architecting STT Last-Level-Cache for performance and energy improvement. ISQED 2016: 319-324 - [c145]Anteneh Gebregiorgis, Fabian Oboril, Mehdi Baradaran Tahoori, Said Hamdioui:
Instruction cache aging mitigation through Instruction Set Encoding. ISQED 2016: 325-330 - [c144]Mohammad Saber Golanbari, Saman Kiamehr, Mehdi Baradaran Tahoori:
Hold-time violation analysis and fixing in near-threshold region. PATMOS 2016: 50-55 - [c143]Fabian Oboril, Azadeh Shirvanian, Mehdi Baradaran Tahoori:
Fault tolerant approximate computing using emerging non-volatile spintronic memories. VTS 2016: 1 - [c142]Mehdi Baradaran Tahoori, Rob Aitken, Sriram R. Vangal, Bal Sandhu:
Test implications and challenges in near threshold computing special session. VTS 2016: 1 - [c141]Arunkumar Vijayan, Abhishek Koneru, Mojtaba Ebrahimi, Krishnendu Chakrabarty, Mehdi Baradaran Tahoori:
Online soft-error vulnerability estimation for memory arrays. VTS 2016: 1-6 - 2015
- [j37]Marco Ottavi, Salvatore Pontarelli, Dimitris Gizopoulos, Cristiana Bolchini, Maria K. Michael, Lorena Anghel, Mehdi Baradaran Tahoori, Antonis M. Paschalis, Pedro Reviriego, Oliver Bringmann, Viacheslav Izosimov, Hans A. R. Manhaeve, Christos Strydis, Said Hamdioui:
Dependable Multicore Architectures at Nanoscale: The View From Europe. IEEE Des. Test 32(2): 17-28 (2015) - [j36]Liang Chen, Mojtaba Ebrahimi, Mehdi Baradaran Tahoori:
Formal Quantification of the Register Vulnerabilities to Soft Error in RTL Control Paths. J. Electron. Test. 31(2): 193-206 (2015) - [j35]Saman Kiamehr, Mojtaba Ebrahimi, Farshad Firouzi, Mehdi Baradaran Tahoori:
Extending standard cell library for aging mitigation. IET Comput. Digit. Tech. 9(4): 206-212 (2015) - [j34]Michael Glaß, Hananeh Aliee, Liang Chen, Mojtaba Ebrahimi, Faramarz Khosravi, Veit B. Kleeberger, Alexandra Listl, Daniel Müller-Gritschneder, Fabian Oboril, Ulf Schlichtmann, Mehdi Baradaran Tahoori, Jürgen Teich, Norbert Wehn, Christian Weis:
Application-aware cross-layer reliability analysis and optimization. it Inf. Technol. 57(3): 159-169 (2015) - [j33]Fabian Oboril, Rajendra Bishnoi, Mojtaba Ebrahimi, Mehdi Baradaran Tahoori:
Evaluation of Hybrid Memory Technologies Using SOT-MRAM for On-Chip Cache Hierarchy. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 34(3): 367-380 (2015) - [j32]Mojtaba Ebrahimi, Adrian Evans, Mehdi Baradaran Tahoori, Enrico Costenaro, Dan Alexandrescu, Vikas Chandra, Razi Seyyedi:
Comprehensive Analysis of Sequential and Combinational Soft Errors in an Embedded Processor. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 34(10): 1586-1599 (2015) - [j31]Farshad Firouzi, Fangming Ye, Krishnendu Chakrabarty, Mehdi Baradaran Tahoori:
Aging- and Variation-Aware Delay Monitoring Using Representative Critical Path Selection. ACM Trans. Design Autom. Electr. Syst. 20(3): 39:1-39:23 (2015) - [j30]Fabian Oboril, Mehdi Baradaran Tahoori:
Exploiting Instruction Set Encoding for Aging-Aware Microprocessor Design. ACM Trans. Design Autom. Electr. Syst. 21(1): 5:1-5:26 (2015) - [c140]Shengcheng Wang, Farshad Firouzi, Fabian Oboril, Mehdi Baradaran Tahoori:
Stress-aware P/G TSV planning in 3D-ICs. ASP-DAC 2015: 94-99 - [c139]Anteneh Gebregiorgis, Mojtaba Ebrahimi, Saman Kiamehr, Fabian Oboril, Said Hamdioui, Mehdi Baradaran Tahoori:
Aging mitigation in memory arrays using self-controlled bit-flipping technique. ASP-DAC 2015: 231-236 - [c138]Mojtaba Ebrahimi, Razi Seyyedi, Liang Chen, Mehdi Baradaran Tahoori:
Event-driven transient error propagation: A scalable and accurate soft error rate estimation approach. ASP-DAC 2015: 743-748 - [c137]Mojtaba Ebrahimi, Nour Sayed, Maryam Rashvand, Mehdi Baradaran Tahoori:
Fault injection acceleration by architectural importance sampling. CODES+ISSS 2015: 212-219 - [c136]Fabian Oboril, Jos Ewert, Mehdi Baradaran Tahoori:
High-resolution online power monitoring for modern microprocessors. DATE 2015: 265-268 - [c135]Rafal Baranowski, Farshad Firouzi, Saman Kiamehr, Chang Liu, Mehdi Baradaran Tahoori, Hans-Joachim Wunderlich:
On-line prediction of NBTI-induced aging rates. DATE 2015: 589-592 - [c134]Abbas BanaiyanMofrad, Mojtaba Ebrahimi, Fabian Oboril, Mehdi Baradaran Tahoori, Nikil D. Dutt:
Protecting caches against multi-bit errors using embedded erasure coding. ETS 2015: 1-6 - [c133]Liang Chen, Mojtaba Ebrahimi, Mehdi Baradaran Tahoori:
Reliability-aware operation chaining in high level synthesis. ETS 2015: 1-6 - [c132]Farshad Firouzi, Fangming Ye, Arunkumar Vijayan, Abhishek Koneru, Krishnendu Chakrabarty, Mehdi Baradaran Tahoori:
Re-using BIST for circuit aging monitoring. ETS 2015: 1-2 - [c131]Mohammad Saber Golanbari, Saman Kiamehr, Mojtaba Ebrahimi, Mehdi Baradaran Tahoori:
Aging guardband reduction through selective flip-flop optimization. ETS 2015: 1-6 - [c130]Ali Ahari, Mojtaba Ebrahimi, Mehdi Baradaran Tahoori:
Energy efficient partitioning of dynamic reconfigurable MRAM-FPGAs. FPL 2015: 1-6 - [c129]Abhishek Koneru, Arunkumar Vijayan, Krishnendu Chakrabarty, Mehdi Baradaran Tahoori:
Fine-Grained Aging Prediction Based on the Monitoring of Run-Time Stress Using DfT Infrastructure. ICCAD 2015: 51-58 - [c128]Shengcheng Wang, Mehdi Baradaran Tahoori, Krishnendu Chakrabarty:
Defect Clustering-Aware Spare-TSV Allocation for 3D ICs. ICCAD 2015: 307-314 - [c127]Ali Ahari, Mojtaba Ebrahimi, Fabian Oboril, Mehdi Baradaran Tahoori:
Improving reliability, performance, and energy efficiency of STT-MRAM with dynamic write latency. ICCD 2015: 109-116 - [c126]Shengcheng Wang, Farshad Firouzi, Fabian Oboril, Mehdi Baradaran Tahoori:
Deadspace-aware Power/Ground TSV planning in 3D floorplanning. ICICDT 2015: 1-4 - [c125]Mehdi Baradaran Tahoori, Abhijit Chatterjee, Krishnendu Chakrabarty, Abhishek Koneru, Arunkumar Vijayan, Debashis Banerjee:
Self-awareness and self-learning for resiliency in real-time systems. IOLTS 2015: 128-131 - [c124]Fabian Oboril, Mojtaba Ebrahimi, Saman Kiamehr, Mehdi Baradaran Tahoori:
Cross-layer resilient system design flow. ISCAS 2015: 2457-2460 - [c123]Mohammad Saber Golanbari, Saman Kiamehr, Mehdi Baradaran Tahoori, Sani R. Nassif:
Analysis and optimization of flip-flops under process and runtime variations. ISQED 2015: 191-196 - [c122]Mojtaba Ebrahimi, Mehdi Baradaran Tahoori:
Stepped parity: A low-cost multiple bit upset detection technique. ITC 2015: 1-8 - [c121]Fabian Oboril, Mehdi Baradaran Tahoori:
Cross-layer approaches for an aging-aware design of nanoscale microprocessors: Dissertation summary: IEEE TTTC E.J. McCluskey doctoral thesis award competition finalist. ITC 2015: 1-10 - [c120]Rob Aitken, Ethan H. Cannon, Mondira Pant, Mehdi Baradaran Tahoori:
Resiliency challenges in sub-10nm technologies. VTS 2015: 1-4 - 2014
- [j29]Matthias Beste, Mehdi Baradaran Tahoori:
Effect of the Active Layer on Carbon Nanotube-Based Cells for Yield Analysis. ACM J. Emerg. Technol. Comput. Syst. 10(4): 32:1-32:19 (2014) - [j28]Robert Wille, Rolf Drechsler, Mehdi Baradaran Tahoori:
Introduction to the Special Issue on Reversible Computation. ACM J. Emerg. Technol. Comput. Syst. 11(2): 8:1-8:2 (2014) - [j27]Andreas Herkersdorf, Hananeh Aliee, Michael Engel, Michael Glaß, Christina Gimmler-Dumont, Jörg Henkel, Veit Kleeberger, Michael A. Kochte, Johannes Maximilian Kühn, Daniel Mueller-Gritschneder, Sani R. Nassif, Holm Rauchfuss, Wolfgang Rosenstiel, Ulf Schlichtmann, Muhammad Shafique, Mehdi Baradaran Tahoori, Jürgen Teich, Norbert Wehn, Christian Weis, Hans-Joachim Wunderlich:
Resilience Articulation Point (RAP): Cross-layer dependability modeling for nanometer system-on-chip resilience. Microelectron. Reliab. 54(6-7): 1066-1074 (2014) - [j26]Fabian Oboril, Mehdi Baradaran Tahoori:
Aging-Aware Design of Microprocessor Instruction Pipelines. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 33(5): 704-716 (2014) - [j25]Nikil D. Dutt, Mehdi Baradaran Tahoori:
Introduction to Special Issue on Cross-layer Dependable Embedded Systems. ACM Trans. Embed. Comput. Syst. 13(4s): 136:1-136:2 (2014) - [c119]Fabian Oboril, Mehdi Baradaran Tahoori:
ArISE: Aging-aware instruction set encoding for lifetime improvement. ASP-DAC 2014: 207-212 - [c118]Rajendra Bishnoi, Mojtaba Ebrahimi, Fabian Oboril, Mehdi Baradaran Tahoori:
Architectural aspects in design and analysis of SOT-based memories. ASP-DAC 2014: 700-707 - [c117]Farshad Firouzi, Fangming Ye, Saman Kiamehr, Krishnendu Chakrabarty, Mehdi Baradaran Tahoori:
Adaptive Mitigation of Parameter Variations. ATS 2014: 51-56 - [c116]Liang Chen, Mehdi Baradaran Tahoori:
Reliability-aware Register Binding for Control-Flow Intensive Designs. DAC 2014: 75:1-75:6 - [c115]Saman Kiamehr, Thomas H. Osiecki, Mehdi Baradaran Tahoori, Sani R. Nassif:
Radiation-Induced Soft Error Analysis of SRAMs in SOI FinFET Technology: A Device to Circuit Approach. DAC 2014: 201:1-201:6 - [c114]Parthasarathy M. B. Rao, Mojtaba Ebrahimi, Razi Seyyedi, Mehdi Baradaran Tahoori:
Protecting SRAM-based FPGAs Against Multiple Bit Upsets Using Erasure Codes. DAC 2014: 212:1-212:6 - [c113]Ali Ahari, Hossein Asadi, Behnam Khaleghi, Mehdi Baradaran Tahoori:
A power-efficient reconfigurable architecture using PCM configuration technology. DATE 2014: 1-6 - [c112]Rajendra Bishnoi, Mojtaba Ebrahimi, Fabian Oboril, Mehdi Baradaran Tahoori:
Asynchronous Asymmetrical Write Termination (AAWT) for a low power STT-MRAM. DATE 2014: 1-6 - [c111]Mojtaba Ebrahimi, Adrian Evans, Mehdi Baradaran Tahoori, Razi Seyyedi, Enrico Costenaro, Dan Alexandrescu:
Comprehensive analysis of alpha and neutron particle-induced soft errors in an embedded processor at nanoscales. DATE 2014: 1-6 - [c110]Saman Kiamehr, Farshad Firouzi, Mojtaba Ebrahimi, Mehdi Baradaran Tahoori:
Aging-aware standard cell library design. DATE 2014: 1-4 - [c109]Shengcheng Wang, Farshad Firouzi, Fabian Oboril, Mehdi Baradaran Tahoori:
P/G TSV planning for IR-drop reduction in 3D-ICs. DATE 2014: 1-6 - [c108]Liang Chen, Mojtaba Ebrahimi, Mehdi Baradaran Tahoori:
Quantitative evaluation of register vulnerabilities in RTL control paths. ETS 2014: 1-2 - [c107]Ali Ahari, Behnam Khaleghi, Zahra Ebrahimi, Hossein Asadi, Mehdi Baradaran Tahoori:
Towards dark silicon era in FPGAs using complementary hard logic design. FPL 2014: 1-6 - [c106]Abdulazim Amouri, Florent Bruguier, Saman Kiamehr, Pascal Benoit, Lionel Torres, Mehdi Baradaran Tahoori:
Aging effects in FPGAs: an experimental analysis. FPL 2014: 1-4 - [c105]Rajendra Bishnoi, Fabian Oboril, Mojtaba Ebrahimi, Mehdi Baradaran Tahoori:
Avoiding unnecessary write operations in STT-MRAM for low power implementation. ISQED 2014: 548-553 - [c104]Farshad Firouzi, Fangming Ye, Krishnendu Chakrabarty, Mehdi Baradaran Tahoori:
Chip Health Monitoring Using Machine Learning. ISVLSI 2014: 280-283 - [c103]Rajendra Bishnoi, Mojtaba Ebrahimi, Fabian Oboril, Mehdi Baradaran Tahoori:
Read disturb fault detection in STT-MRAM. ITC 2014: 1-7 - [c102]Matthias Beste, Saman Kiamehr, Mehdi Baradaran Tahoori:
Physical design of CNTFET-based circuits for yield improvement. NEWCAS 2014: 65-68 - [c101]Ali Ahari, Hossein Asadi, Mehdi Baradaran Tahoori:
Emerging Non-Volatile Memory technologies for future low power reconfigurable systems. ReCoSoC 2014: 1-2 - [c100]Matthias Beste, Saman Kiamehr, Mehdi Baradaran Tahoori:
Layout-Aware Delay Variation Optimization for CNTFET-Based Circuits. VLSID 2014: 393-398 - [c99]Abdulazim Amouri, Jochen Hepp, Mehdi Baradaran Tahoori:
Self-heating thermal-aware testing of FPGAs. VTS 2014: 1-6 - [c98]Fangming Ye, Farshad Firouzi, Yang Yang, Krishnendu Chakrabarty, Mehdi Baradaran Tahoori:
On-chip voltage-droop prediction using support-vector machines. VTS 2014: 1-6 - [i2]Hananeh Aliee, Liang Chen, Mojtaba Ebrahimi, Michael Glaß, Faramarz Khosravi, Mehdi Baradaran Tahoori:
Towards Cross-layer Reliability Analysis of Transient and Permanent Faults. CoRR abs/1405.2914 (2014) - 2013
- [j24]Liang Chen, Mojtaba Ebrahimi, Mehdi Baradaran Tahoori:
CEP: Correlated Error Propagation for Hierarchical Soft Error Analysis. J. Electron. Test. 29(2): 143-158 (2013) - [j23]Behnam Ghavami, Mohsen Raji, Hossein Pedram, Mehdi Baradaran Tahoori:
Design and Analysis of a Robust Carbon Nanotube-Based Asynchronous Primitive Circuit. ACM J. Emerg. Technol. Comput. Syst. 9(1): 4:1-4:27 (2013) - [j22]Masoud Zamani, Hanieh Mirzaei, Mehdi Baradaran Tahoori:
ILP formulations for variation/defect-tolerant logic mapping on crossbar nano-architectures. ACM J. Emerg. Technol. Comput. Syst. 9(3): 21:1-21:21 (2013) - [j21]Fabian Oboril, Farshad Firouzi, Saman Kiamehr, Mehdi Baradaran Tahoori:
Negative Bias Temperature Instability-Aware Instruction Scheduling: A Cross-Layer Approach. J. Low Power Electron. 9(4): 389-402 (2013) - [j20]Farshad Firouzi, Saman Kiamehr, Mehdi Baradaran Tahoori:
Power-Aware Minimum NBTI Vector Selection Using a Linear Programming Approach. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 32(1): 100-110 (2013) - [c97]Farshad Firouzi, Saman Kiamehr, Mehdi Baradaran Tahoori:
Statistical analysis of BTI in the presence of process-induced voltage and temperature variations. ASP-DAC 2013: 594-600 - [c96]Mojtaba Ebrahimi, Liang Chen, Hossein Asadi, Mehdi Baradaran Tahoori:
CLASS: Combined logic and architectural soft error sensitivity analysis. ASP-DAC 2013: 601-607 - [c95]Jörg Henkel, Lars Bauer, Nikil D. Dutt, Puneet Gupta, Sani R. Nassif, Muhammad Shafique, Mehdi Baradaran Tahoori, Norbert Wehn:
Reliable on-chip systems in the nano-era: lessons learnt and future trends. DAC 2013: 99:1-99:10 - [c94]Mojtaba Ebrahimi, Hossein Asadi, Mehdi Baradaran Tahoori:
A layout-based approach for multiple event transient analysis. DAC 2013: 100:1-100:6 - [c93]Yuko Hara-Azumi, Farshad Firouzi, Saman Kiamehr, Mehdi Baradaran Tahoori:
Instruction-set extension under process variation and aging effects. DATE 2013: 182-187 - [c92]Fabian Oboril, Mehdi Baradaran Tahoori:
MTTF-balanced pipeline design. DATE 2013: 270-275 - [c91]Farshad Firouzi, Saman Kiamehr, Mehdi Baradaran Tahoori, Sani R. Nassif:
Incorporating the impacts of workload-dependent runtime variations into timing analysis. DATE 2013: 1022-1025 - [c90]Mehdi Baradaran Tahoori:
Cross-layer resilient system design. DDECS 2013: 10 - [c89]Saman Kiamehr, Farshad Firouzi, Mehdi Baradaran Tahoori:
A layout-aware x-filling approach for dynamic power supply noise reduction in at-speed scan testing. ETS 2013: 1-6 - [c88]Abdulazim Amouri, Hussam Amrouch, Thomas Ebi, Jörg Henkel, Mehdi Baradaran Tahoori:
Accurate Thermal-Profile Estimation and Validation for FPGA-Mapped Circuits. FCCM 2013: 57-60 - [c87]Abdulazim Amouri, Mehdi Baradaran Tahoori:
Degradation in FPGAs: Monitoring, modeling and mitigation (PHD forum paper: Thesis broad overview). FPL 2013: 1-2 - [c86]Parthasarathy M. B. Rao, Abdulazim Amouri, Saman Kiamehr, Mehdi Baradaran Tahoori:
Altering LUT configuration for wear-out mitigation of FPGA-mapped designs. FPL 2013: 1-8 - [c85]Mojtaba Ebrahimi, Fabian Oboril, Saman Kiamehr, Mehdi Baradaran Tahoori:
Aging-aware logic synthesis. ICCAD 2013: 61-68 - [c84]Fabian Oboril, Ilias Sagar, Mehdi Baradaran Tahoori:
A-SOFT-AES: Self-adaptive software-implemented fault-tolerance for AES. IOLTS 2013: 104-109 - [c83]Saman Kiamehr, Farshad Firouzi, Mehdi Baradaran Tahoori:
Aging-aware timing analysis considering combined effects of NBTI and PBTI. ISQED 2013: 53-59 - [c82]Farshad Firouzi, Fangming Ye, Krishnendu Chakrabarty, Mehdi Baradaran Tahoori:
Representative critical-path selection for aging-induced delay monitoring. ITC 2013: 1-10 - [c81]Saman Kiamehr, Mojtaba Ebrahimi, Farshad Firouzi, Mehdi Baradaran Tahoori:
Chip-level modeling and analysis of electrical masking of soft errors. VTS 2013: 1-6 - 2012
- [j19]Hossein Asadi, Mehdi Baradaran Tahoori, Mahdi Fazeli, Seyed Ghassem Miremadi:
Efficient algorithms to accurately compute derating factors of digital circuits. Microelectron. Reliab. 52(6): 1215-1226 (2012) - [c80]Fabian Oboril, Farshad Firouzi, Saman Kiamehr, Mehdi Baradaran Tahoori:
Reducing NBTI-induced processor wearout by exploiting the timing slack of instructions. CODES+ISSS 2012: 443-452 - [c79]Farshad Firouzi, Saman Kiamehr, Mehdi Baradaran Tahoori:
NBTI mitigation by optimized NOP assignment and insertion. DATE 2012: 218-223 - [c78]Matthias Beste, Mehdi Baradaran Tahoori:
Layout-Driven Robustness Analysis for misaligned Carbon Nanotubes in CNTFET-based standard cells. DATE 2012: 1609-1614 - [c77]Fabian Oboril, Mehdi Baradaran Tahoori:
ExtraTime: Modeling and analysis of wearout due to transistor aging at microarchitecture-level. DSN 2012: 1-12 - [c76]Fabian Oboril, Mehdi Baradaran Tahoori:
Reducing wearout in embedded processors using proactive fine-grain dynamic runtime adaptation. ETS 2012: 1-6 - [c75]Syed Zafar Shazli, Mehdi Baradaran Tahoori:
Online detection and recovery of transient errors in front-end structures of microprocessors. ETS 2012: 1 - [c74]Abdulazim Amouri, Mehdi Baradaran Tahoori:
High-level aging estimation for FPGA-mapped designs. FPL 2012: 284-291 - [c73]Abdulazim Amouri, Saman Kiamehr, Mehdi Baradaran Tahoori:
Investigation of aging effects in different implementations and structures of programmable routing resources of FPGAs. FPT 2012: 215-219 - [c72]Masoud Zamani, Mehdi Baradaran Tahoori:
Reliable logic mapping on Nano-PLA architectures. ACM Great Lakes Symposium on VLSI 2012: 107-110 - [c71]Saman Kiamehr, Farshad Firouzi, Mehdi Baradaran Tahoori:
Input and transistor reordering for NBTI and HCI reduction in complex CMOS gates. ACM Great Lakes Symposium on VLSI 2012: 201-206 - [c70]Liang Chen, Mehdi Baradaran Tahoori:
An efficient probability framework for error propagation and correlation estimation. IOLTS 2012: 170-175 - [c69]Unmesh D. Bordoloi, Bogdan Tanasa, Mehdi Baradaran Tahoori, Petru Eles, Zebo Peng, Syed Zafar Shazli, Samarjit Chakraborty:
Reliability-Aware Instruction Set Customization for ASIPs with Hardened Logic. RTCSA 2012: 164-173 - [c68]Masoud Zamani, Mehdi Baradaran Tahoori, Krishnendu Chakrabarty:
Ping-pong test: Compact test vector generation for reversible circuits. VTS 2012: 164-169 - 2011
- [j18]Mehdi Baradaran Tahoori:
High Resolution Application Specific Fault Diagnosis of FPGAs. IEEE Trans. Very Large Scale Integr. Syst. 19(10): 1775-1786 (2011) - [c67]Masoud Zamani, Mehdi Baradaran Tahoori:
Variation-aware logic mapping for crossbar nano-architectures. ASP-DAC 2011: 317-322 - [c66]Jörg Henkel, Lars Bauer, Joachim Becker, Oliver Bringmann, Uwe Brinkschulte, Samarjit Chakraborty, Michael Engel, Rolf Ernst, Hermann Härtig, Lars Hedrich, Andreas Herkersdorf, Rüdiger Kapitza, Daniel Lohmann, Peter Marwedel, Marco Platzner, Wolfgang Rosenstiel, Ulf Schlichtmann, Olaf Spinczyk, Mehdi Baradaran Tahoori, Jürgen Teich, Norbert Wehn, Hans-Joachim Wunderlich:
Design and architectures for dependable embedded systems. CODES+ISSS 2011: 69-78 - [c65]Mahdi Fazeli, Seyed Nematollah Ahmadian, Seyed Ghassem Miremadi, Hossein Asadi, Mehdi Baradaran Tahoori:
Soft error rate estimation of digital circuits in the presence of Multiple Event Transients (METs). DATE 2011: 70-75 - [c64]Masoud Zamani, Mehdi Baradaran Tahoori:
Online Missing/Repeated Gate Faults Detection in Reversible Circuits. DFT 2011: 435-442 - [c63]Masoud Zamani, Navid Farazmand, Mehdi Baradaran Tahoori:
Fault Masking and Diagnosis in Reversible Circuits. ETS 2011: 69-74 - [c62]Abdulazim Amouri, Mehdi Baradaran Tahoori:
A Low-Cost Sensor for Aging and Late Transitions Detection in Modern FPGAs. FPL 2011: 329-335 - [c61]Saman Kiamehr, Abdulazim Amouri, Mehdi Baradaran Tahoori:
Investigation of NBTI and PBTI induced aging in different LUT implementations. FPT 2011: 1-8 - [c60]Masoud Zamani, Mehdi Baradaran Tahoori:
Variation-immune quasi delay-insensitive implementation on nano-crossbar arrays. ACM Great Lakes Symposium on VLSI 2011: 181-186 - [c59]Farshad Firouzi, Saman Kiamehr, Mehdi Baradaran Tahoori:
A linear programming approach for minimum NBTI vector selection. ACM Great Lakes Symposium on VLSI 2011: 253-258 - [c58]Farshad Firouzi, Saman Kiamehr, Mehdi Baradaran Tahoori:
Modeling and estimation of power supply noise using linear programming. ICCAD 2011: 537-542 - [c57]Masoud Zamani, Mehdi Baradaran Tahoori:
Self-timed nano-PLA. NANOARCH 2011: 78-85 - [c56]Fabian Oboril, Mehdi Baradaran Tahoori, Vincent Heuveline, Dimitar Lukarski, Jan-Philipp Weiss:
Numerical Defect Correction as an Algorithm-Based Fault Tolerance Technique for Iterative Solvers. PRDC 2011: 144-153 - 2010
- [j17]Hossein Asadi, Mehdi Baradaran Tahoori:
Soft error modeling and remediation techniques in ASIC designs. Microelectron. J. 41(8): 506-522 (2010) - [j16]Syed Zafar Shazli, Mehdi Baradaran Tahoori:
Using Boolean satisfiability for computing soft error rates in early design stages. Microelectron. Reliab. 50(1): 149-159 (2010) - [j15]Mehdi Baradaran Tahoori:
Variation and defect tolerance for diode-based nano crossbars. Nano Commun. Networks 1(4): 264-272 (2010) - [c55]Cihan Tunc, Mehdi Baradaran Tahoori:
Variation tolerant logic mapping for crossbar array nano architectures. ASP-DAC 2010: 855-860 - [c54]Mehdi Baradaran Tahoori, Ishwar Parulkar, Dan Alexandrescu, Kevin Granlund, Allan Silburt, Bapi Vinnakota:
Panel: Reliability of data centers: Hardware vs. software. DATE 2010: 1620 - [c53]Navid Farazmand, Masoud Zamani, Mehdi Baradaran Tahoori:
Online Multiple Fault Detection in Reversible Circuits. DFT 2010: 429-437 - [c52]Mahdi Fazeli, Seyed Ghassem Miremadi, Hossein Asadi, Mehdi Baradaran Tahoori:
A Fast Analytical Approach to Multi-cycle Soft Error Rate Estimation of Sequential Circuits. DSD 2010: 797-800 - [c51]Masoud Zamani, Mehdi Baradaran Tahoori:
A transient error tolerant self-timed asynchronous architecture. ETS 2010: 88-93 - [c50]Navid Farazmand, Mehdi Baradaran Tahoori:
Multiple fault diagnosis in crossbar nano-architectures. ETS 2010: 94-99 - [c49]Navid Farazmand, Masoud Zamani, Mehdi Baradaran Tahoori:
Online fault testing of reversible logic using dual rail coding. IOLTS 2010: 204-205 - [c48]Mohammed A. Abdul-Aziz, Mehdi Baradaran Tahoori:
Soft error reliability aware placement and routing for FPGAs. ITC 2010: 753-761 - [c47]Cihan Tunc, Mehdi Baradaran Tahoori:
On-the-fly variation tolerant mapping in crossbar nano-architectures. VTS 2010: 105-110
2000 – 2009
- 2009
- [j14]Mehdi Baradaran Tahoori:
Low-overhead defect tolerance in crossbar nanoarchitectures. ACM J. Emerg. Technol. Comput. Syst. 5(2): 11:1-11:24 (2009) - [j13]Mehdi Baradaran Tahoori, Hossein Asadi, Brian Mullins, David R. Kaeli:
Obtaining FPGA soft error rate in high performance information systems. Microelectron. Reliab. 49(5): 551-557 (2009) - [c46]Syed Zafar Shazli, Mehdi Baradaran Tahoori:
Transient Error Detection and Recovery in Processor Pipelines. DFT 2009: 304-312 - [c45]Syed Zafar Shazli, Mehdi Baradaran Tahoori:
Soft error rate computation in early design stages using boolean satisfiability. ACM Great Lakes Symposium on VLSI 2009: 101-104 - [c44]Mehdi Baradaran Tahoori:
BISM: built-in self map for hybrid crossbar nano-architectures. ACM Great Lakes Symposium on VLSI 2009: 153-156 - [c43]Navid Farazmand, Mehdi Baradaran Tahoori:
Online multiple error detection in crossbar nano-architectures. ICCD 2009: 335-342 - [c42]Navid Farazmand, Mehdi Baradaran Tahoori:
Online detection of multiple faults in crossbar nano-architectures using dual rail implementations. NANOARCH 2009: 79-82 - 2008
- [c41]Syed Zafar Shazli, Mehdi Baradaran Tahoori:
Obtaining Microprocessor Vulnerability Factor Using Formal Methods. DFT 2008: 63-71 - [c40]Syed Zafar Shazli, Mohammed A. Abdul-Aziz, Mehdi Baradaran Tahoori, David R. Kaeli:
A Field Analysis of System-level Effects of Soft Errors Occurring in Microprocessors used in Information Systems. ITC 2008: 1-10 - 2007
- [j12]Mehdi Baradaran Tahoori, Subhasish Mitra:
Application-Dependent Delay Testing of FPGAs. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 26(3): 553-563 (2007) - [j11]Hossein Asadi, Mehdi Baradaran Tahoori:
Analytical Techniques for Soft Error Rate Modeling and Mitigation of FPGA-Based Designs. IEEE Trans. Very Large Scale Integr. Syst. 15(12): 1320-1331 (2007) - [c39]Hossein Asadi, Mehdi Baradaran Tahoori, Chandra Tirumurti:
Estimating Error Propagation Probabilities with Bounded Variances. DFT 2007: 41-49 - [c38]Brian Mullins, Hossein Asadi, Mehdi Baradaran Tahoori, David R. Kaeli, Kevin Granlund, Rudy Bauer, Scott Romano:
Case Study: Soft Error Rate Analysis in Storage Systems. VTS 2007: 256-264 - [i1]Ghazanfar Asadi, Mehdi Baradaran Tahoori:
An Accurate SER Estimation Method Based on Propagation Probability. CoRR abs/0710.4712 (2007) - 2006
- [j10]Mehdi Baradaran Tahoori:
Application-independent defect tolerance of reconfigurable nanoarchitectures. ACM J. Emerg. Technol. Comput. Syst. 2(3): 197-218 (2006) - [j9]Vilas Sridharan, Hossein Asadi, Mehdi Baradaran Tahoori, David R. Kaeli:
Reducing Data Cache Susceptibility to Soft Errors. IEEE Trans. Dependable Secur. Comput. 3(4): 353-364 (2006) - [j8]Mehdi Baradaran Tahoori:
Application-Dependent Testing of FPGAs. IEEE Trans. Very Large Scale Integr. Syst. 14(9): 1024-1033 (2006) - [c37]Hossein Asadi, Vilas Sridharan, Mehdi Baradaran Tahoori, David R. Kaeli:
Vulnerability analysis of L2 cache elements to single event upsets. DATE 2006: 1276-1281 - [c36]Hossein Asadi, Mehdi Baradaran Tahoori:
Soft error derating computation in sequential circuits. ICCAD 2006: 497-501 - [c35]Mehdi Baradaran Tahoori:
Application-independent defect-tolerant crossbar nano-architectures. ICCAD 2006: 730-734 - [c34]Hossein Asadi, Mehdi Baradaran Tahoori:
Soft error hardening for logic-level designs. ISCAS 2006 - [c33]Mehdi Baradaran Tahoori, Subhasish Mitra:
Test Compression for FPGAs. ITC 2006: 1-9 - 2005
- [j7]R. Iris Bahar, Mehdi Baradaran Tahoori, Sandeep K. Shukla, Fabrizio Lombardi:
Guest Editors' Introduction: Challenges for Reliable Design at the Nanoscale. IEEE Des. Test Comput. 22(4): 295-297 (2005) - [j6]Jing Huang, Mehdi Baradaran Tahoori, Fabrizio Lombardi:
A probabilistic analysis of fault tolerance for switch block array in FPGAs. Int. J. Embed. Syst. 1(3/4): 250-262 (2005) - [j5]Mehdi Baradaran Tahoori, Subhasish Mitra:
Application-independent testing of FPGA interconnects. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 24(11): 1774-1783 (2005) - [j4]Mariam Momenzadeh, Jing Huang, Mehdi Baradaran Tahoori, Fabrizio Lombardi:
Characterization, test, and logic synthesis of and-or-inverter (AOI) gate design for QCA implementation. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 24(12): 1881-1893 (2005) - [j3]Jing Huang, Mehdi Baradaran Tahoori, Fabrizio Lombardi:
Fault Tolerance of Switch Blocks and Switch Block Arrays in FPGA. IEEE Trans. Very Large Scale Integr. Syst. 13(7): 794-807 (2005) - [c32]Ghazanfar Asadi, Mehdi Baradaran Tahoori:
An Accurate SER Estimation Method Based on Propagation Probability. DATE 2005: 306-307 - [c31]Mehdi Baradaran Tahoori:
Defects, Yield, and Design in Sublithographic Nano-electronics. DFT 2005: 3-11 - [c30]Bhushan Vaidya, Mehdi Baradaran Tahoori:
Delay Test Generation with All Reachable Output Propagation and Multiple Excitations. DFT 2005: 380-388 - [c29]Jeetendra Kumar, Mehdi Baradaran Tahoori:
A Low Power Soft Error Suppression Technique for Dynamic Logic. DFT 2005: 454-462 - [c28]Hossein Asadi, Mehdi Baradaran Tahoori:
Soft Error Modeling and Protection for Sequential Elements. DFT 2005: 463-474 - [c27]Ghazanfar Asadi, Mehdi Baradaran Tahoori:
Soft error rate estimation and mitigation for SRAM-based FPGAs. FPGA 2005: 149-160 - [c26]Mehdi Baradaran Tahoori:
A mapping algorithm for defect-tolerance of reconfigurable nano-architectures. ICCAD 2005: 668-672 - [c25]Ghazanfar Asadi, Mehdi Baradaran Tahoori:
An analytical approach for soft error rate estimation in digital circuits. ISCAS (3) 2005: 2991-2994 - [c24]Hossein Asadi, Vilas Sridharan, Mehdi Baradaran Tahoori, David R. Kaeli:
Balancing Performance and Reliability in the Memory Hierarchy. ISPASS 2005: 269-279 - [c23]Ghazanfar Asadi, Mehdi Baradaran Tahoori:
Soft Error Mitigation for SRAM-Based FPGAs. VTS 2005: 207-212 - 2004
- [j2]Mehdi Baradaran Tahoori:
Application-Specific Bridging Fault Testing of FPGAs. J. Electron. Test. 20(3): 279-289 (2004) - [j1]Mehdi Baradaran Tahoori, Subhasish Mitra:
Techniques and algorithms for fault grading of FPGA interconnect test configurations. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 23(2): 261-272 (2004) - [c22]Rajeev Murgai, Subodh M. Reddy, Takashi Miyoshi, Takeshi Horie, Mehdi Baradaran Tahoori:
Sensitivity-Based Modeling and Methodology for Full-Chip Substrate Noise Analysis. DATE 2004: 610-615 - [c21]Jing Huang, Mehdi Baradaran Tahoori, Fabrizio Lombardi:
Fault Tolerance of Programmable Switch Blocks. DATE 2004: 1358-1359 - [c20]Mehdi Baradaran Tahoori, Fabrizio Lombardi:
Testing of Quantum Dot Cellular Automata Based Designs. DATE 2004: 1408-1409 - [c19]Jing Huang, Mariam Momenzadeh, Mehdi Baradaran Tahoori, Fabrizio Lombardi:
Defect Characterization for Scaling of QCA Devices. DFT 2004: 30-38 - [c18]Jing Huang, Mehdi Baradaran Tahoori, Fabrizio Lombardi:
On the Defect Tolerance of Nano-Scale Two-Dimensional Crossbars. DFT 2004: 96-104 - [c17]Mehdi Baradaran Tahoori, Subhasish Mitra:
Defect and Fault Tolerance of Reconfigurable Molecular Computing. FCCM 2004: 176-185 - [c16]Jing Huang, Mariam Momenzadeh, Mehdi Baradaran Tahoori, Fabrizio Lombardi:
Design and characterization of an and-or-inverter (AOI) gate for QCA implementation. ACM Great Lakes Symposium on VLSI 2004: 426-429 - [c15]Jing Huang, Mehdi Baradaran Tahoori, Fabrizio Lombardi:
Probabilistic Analysis of Fault Tolerance of FPGA Switch Block Array. IPDPS 2004 - [c14]Mariam Momenzadeh, Mehdi Baradaran Tahoori, Jing Huang, Fabrizio Lombardi:
Quantum Cellular Automata: New Defects and Faults for New Devices. IPDPS 2004 - [c13]Jing Huang, Mehdi Baradaran Tahoori, Fabrizio Lombardi:
Routability and Fault Tolerance of FPGA Interconnect Architectures. ITC 2004: 479-488 - [c12]Mehdi Baradaran Tahoori, Subhasish Mitra:
Interconnect Delay Testing of Designs on Programmable Logic Devices. ITC 2004: 635-644 - [c11]Mehdi Baradaran Tahoori:
Application-Dependent Diagnosis of FPGAs. ITC 2004: 645-654 - [c10]Mehdi Baradaran Tahoori, Edward J. McCluskey, Michel Renovell, Philippe Faure:
A Multi-Configuration Strategy for an Application Dependent Testing of FPGAs. VTS 2004: 154-170 - [c9]Mehdi Baradaran Tahoori, Mariam Momenzadeh, Jing Huang, Fabrizio Lombardi:
Defects and Faults in Quantum Cellular Automata at Nano Scale. VTS 2004: 291-296 - 2003
- [c8]Mehdi Baradaran Tahoori:
Using satisfiability in application-dependent testing of FPGA interconnects. DAC 2003: 678-681 - [c7]Mehdi Baradaran Tahoori:
Application-Dependent Testing of FPGA Interconnects. DFT 2003: 409-416 - [c6]Mehdi Baradaran Tahoori:
A high resolution diagnosis technique for open and short defects in FPGA interconnects. FPGA 2003: 248 - [c5]Mehdi Baradaran Tahoori:
Application-dependent testing of FPGAs for bridging faults. FPGA 2003: 248 - [c4]Mehdi Baradaran Tahoori, Subhasish Mitra:
Automatic Configuration Generation for FPGA Interconnect Testing. VTS 2003: 134-144 - 2002
- [c3]Mehdi Baradaran Tahoori:
Diagnosis of open defects in FPGA interconnect. FPT 2002: 328-331 - [c2]Mehdi Baradaran Tahoori:
Testing for resistive open defects in FPGAs. FPT 2002: 332-335 - [c1]Mehdi Baradaran Tahoori, Subhasish Mitra, Shahin Toutounchi, Edward J. McCluskey:
Fault Grading FPGA Interconnect Test Configurations. ITC 2002: 608-617
Coauthor Index
aka: Ghazanfar Asadi
aka: Dennis R. E. Gnad
aka: Dennis D. Weller
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.
Unpaywalled article links
Add open access links from to the list of external document links (if available).
Privacy notice: By enabling the option above, your browser will contact the API of unpaywall.org to load hyperlinks to open access articles. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Unpaywall privacy policy.
Archived links via Wayback Machine
For web page which are no longer available, try to retrieve content from the of the Internet Archive (if available).
Privacy notice: By enabling the option above, your browser will contact the API of archive.org to check for archived content of web pages that are no longer available. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Internet Archive privacy policy.
Reference lists
Add a list of references from , , and to record detail pages.
load references from crossref.org and opencitations.net
Privacy notice: By enabling the option above, your browser will contact the APIs of crossref.org, opencitations.net, and semanticscholar.org to load article reference information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Crossref privacy policy and the OpenCitations privacy policy, as well as the AI2 Privacy Policy covering Semantic Scholar.
Citation data
Add a list of citing articles from and to record detail pages.
load citations from opencitations.net
Privacy notice: By enabling the option above, your browser will contact the API of opencitations.net and semanticscholar.org to load citation information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the OpenCitations privacy policy as well as the AI2 Privacy Policy covering Semantic Scholar.
OpenAlex data
Load additional information about publications from .
Privacy notice: By enabling the option above, your browser will contact the API of openalex.org to load additional information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the information given by OpenAlex.
last updated on 2024-11-13 23:49 CET by the dblp team
all metadata released as open data under CC0 1.0 license
see also: Terms of Use | Privacy Policy | Imprint