Nanoelectromechanical Switches for Low-Power Digital Computing
Abstract
:1. Introduction
2. Brief Overview of Logic Relay Technologies
3. Electrostatic Relay Basics
3.1. Benefits for Digital Logic Applications
3.2. Device Operation
3.3. Contact Resistance
4. Recent Progress in Electrostatic M/NEM Logic Relay Technology
4.1. First Demonstration of a NEM Relay
4.2. First Demonstration of a NEM Relay Using a CMOS-Compatible “Top-Down” Fabrication Process
4.3. Other Relays Fabricated Using CMOS-Compatible Processes
4.3.1. “Out-of-Plane” Actuation Relays
4.3.2. “In-Plane” Actuation Relays
4.3.3. Silicon Carbide (SiC) Relays for Harsh Environments
4.4. Additional NEM Switch Demonstrations
4.5. Summary
Research Group | Type | Area | c-gap | Vpi | Rc | Cycles | Material | Circuit |
---|---|---|---|---|---|---|---|---|
First CNT [29,31] | 3T | - | 30 nm | 4.5 V | 1 MΩ | >1 | CNT | No |
First Top-Down (KAIST) [40,41,42,43] | 3T | - | 40 nm | 4 V | - | >10 | TiN | No |
Out-of-Plane (UC Berkeley) [44,45,46,47,48,49,50] | 4T 6T | - | 80 nm | <1 V | 1 kΩ | >108 | W Ru | Yes |
6T | - | 250 nm | 10 V | - | >1 | TiO2 | Yes | |
In-Plane (Stanford University) [51,52,53] | 5T | - | - | 7.9 V | 3 kΩ | 108 | Pt | Yes |
Curved (NEMIAC) [54,55,56,57] | 3T 4T | 15 µm2 | 50 nm | 0.5 V | 5 kΩ | >108 | a-C | Yes |
SiC Relays (CWR University) [58,59,60,61,62,63] | 3T | 1 µm2 | 100 nm | 15 V | 10 MΩ | >14,000 | SiC | Yes |
Sandia National Lab [64] | 3T | (10 µm2) | 30 nm | >4 V | - | 2 × 106 | Ru | No |
Cornell University [65] | 3T | (3 µm2) | 200 nm | 10 V | 10 MΩ | - | - | No |
Piezoelectric (CM University) [21,22,23] | 4T | 58 µm2 | - | 10 mV | 16 kΩ | - | Pt | No |
5. Relay-Based Logic Circuit Design
6. Remaining Challenges and Pathways to Solutions for NEM Relay Technology
- The ability to achieve high manufacturing yield. This is especially a challenge for NEM relays incorporating carbon nanotubes, nanowires, or graphene, which are fabricated using bottom-up processes. Well-established top-down planar processing and surface-micromachining techniques should be leveraged for the high-volume manufacture of NEM relays. Ideally, a relay fabrication process should be compatible with back-end-of-line (BEOL) processing to facilitate co-integration with CMOS circuitry [69].
- The ability to scale down the device footprint. Most of the NEM relays reported to date have micrometer-scale lateral dimensions. Reducing the size of the relay is particularly constrained by the requirement of low actuation voltage and the fact that the contact air-gap thickness cannot be infinitesimally small. A large area is needed to generate a large enough Felec to overcome Frl, which in turn has to be larger than Fadh [70]. To reduce the footprint of a NEM relay to below 0.1 µm2, researchers at the University of California, Berkeley, have proposed to utilize multiple layers of metal to implement a compact, vertically oriented structure (Figure 10a) [68]. This design is based on the utilization of several layers of air-gap interconnects available in state-of-the-art CMOS technology (Figure 10b) [71], and can achieve a very low pull-in voltage (Vpi = 1 V for A < 0.1 µm2 and 20 ns switching delay). The feasibility of this approach remains to be proven.
- The ability to operate at a very low voltage for low active power consumption. Minimization of adhesion at contacting asperities is a challenge for achieving a very low (mV) operating voltage, since Felec decreases quadratically with decreasing actuation voltage and must be greater than Fadh. This sets the fundamental energy efficiency limit for a relay. Adhesion is not fully understood in electrical contacts. However, numerous studies of mechanical contact have emerged in recent years, especially to meet the need in microsystems [72,73].It should be noted that the actuation area and/or the operating voltage of a 4T relay can be reduced by applying a body bias voltage to reduce the gate voltage swing required to operate the switch. The hysteresis voltage limits the degree to which the gate voltage swing can be reduced in this manner, however, pointing again to the need to minimize contact adhesive force. Several NEM relays have already demonstrated sub-1 V operating voltages using the body-biasing technique [22,48,54].
- The ability to achieve sufficiently high endurance. An endurance of 3 × 1014 (i.e., less than one quadrillion) ON/OFF switching cycles is sufficient to guarantee device functionality over a period of 10 years at 100 MHz operating frequency with a duty factor of 1%. To date, the best NEM relay endurance demonstrated is less than 1010 cycles. Relay failure modes are well known, as they are similar to those observed for RF MEMS relays: either oxidation of the contact surfaces, which induces a strong increase of the contact resistance at low contact voltages, or material transfer, which results in stiction (welding) [74,75]. Of these two failure modes, it appears that oxidation of the contact surfaces is the main limiting one for logic relays. Indeed, in [76], a reliability model is developed to project the number of switching cycles before welding-induced failure as a function of 1/V, and accelerated lifetime tests indicate that endurance should exceed 1014 cycles for operating voltages below 1 V; the projected endurance goes up to 1016 cycles at 0.5 V. Therefore, contact welding is not anticipated to be the main reliability issue for NEM relays.
7. Conclusions
Acknowledgments
Conflicts of Interest
References
- Moore, G.E. Cramming more components onto integrated circuits. Electronics 1965, 38, 114–117. [Google Scholar]
- International Technology Roadmap for Semiconductors, 2008 Edition. Available online: http://www.itrs.net/ (accessed on 5 August 2015).
- Haensch, W.; Nowak, E.J.; Dennard, R.H.; Solomon, P.M.; Bryant, A.; Dokumaci, O.H.; Kumar, A.; Wang, X.; Johnson, J.B.; Fischetti, M.V. Silicon CMOS devices beyond scaling. IBM J. Res. Dev. 2006, 50, 339–361. [Google Scholar] [CrossRef]
- Taylor, M.B. Is dark silicon useful? Harnessing the four horsemen of the coming dark silicon apocalypse. In Proceedings of the 49th Annual Design Automation Conference, San Francisco, CA, USA, 3–7 June 2012; pp. 1131–1136.
- Thompson, S.E.; Armstrong, M.; Auth, C.; Alavi, M.; Buehler, M.; Chau, R.; Cea, S.; Ghani, T.; Glass, G.; Hoffman, T.; et al. A 90-nm logic technology featuring strained-silicon. IEEE Trans. Electron Devices 2004, 51, 1790–1797. [Google Scholar] [CrossRef]
- Mistry, K.; Allen, C.; Auth, C.; Beattie, B.; Bergstrom, D.; Bost, M.; Brazier, M.; Buehler, M.; Cappellani, A.; Chau, R.; et al. A 45nm logic technology with high-k plus metal gate transistors, strained silicon, 9 Cu interconnect layers, 193 nm dry patterning, and 100% Pb-free packaging. In Proceedings of the IEEE International Electron Devices Meeting, Washington, DC, USA, 10–12 December 2007; pp. 247–250.
- Cheng, K.; Khakifirooz, A.; Kulkarni, P.; Ponoth, S.; Haran, B.; Kumar, A.; Adam, T.; Reznicek, A.; Loubet, N.; He, H.; et al. ETSOI CMOS for system-on-chip applications featuring 22 nm gate length, sub-100 nm gate pitch, and 0.08 µm2 SRAM cell. In Proceedings of 2011 Symposium on VLSI Technology, Honolulu, HI, USA, 14–16 June 2011; pp. 128–129.
- Hisamoto, D.; Lee, W.C.; Kedzierski, J.; Takeuchi, H.; Asano, K.; Kuo, C.; Anderson, E.; King, T.J.; Bokor, J.; Hu, C. FinFET—A self-aligned double-gate MOSFET scalable to 20 nm. IEEE Trans Electron Devices 2000, 47, 2320–2325. [Google Scholar]
- Auth, C.; Allen, C.; Blattner, A.; Bergstrom, D.; Brazier, M.; Bost, M.; Buehler, M.; Chikarmane, V.; Ghani, T.; Glassman, T.; et al. A 22nm high performance and low-power CMOS technology featuring fully-depleted tri-gate transistors, self-aligned contacts and high density MIM capacitors. In Proceedings of 2012 Symposium on VLSI Technology, Honolulu, HI, USA, 12–14 June 2012; pp. 131–132.
- Knoch, J.; Appenzeller, J. A novel concept for field-effect transistors-the tunneling carbon nanotube FET. In Proceedings of 63rd Device Research Conference Digest (DRC 05), Santa Barbara, CA, USA, 20–22 June 2005; pp. 153–156.
- Seabaugh, A.C.; Zhang, Q. Low-voltage tunnel transistors for beyond CMOS logic. Proc. IEEE 2010, 98, 2095–2110. [Google Scholar] [CrossRef]
- Choi, W.Y.; Park, B.G.; Lee, J.D.; Liu, T.-J.K. Tunneling field-effect transistors (TFETs) with subthreshold swing (SS) less than 60 mV/dec. IEEE Electron Device Lett. 2007, 28, 743–745. [Google Scholar] [CrossRef]
- Kim, S.H.; Kam, H.; Hu, C.; Liu, T.-J.K. Germanium-source tunnel field effect transistors with record high ION/IOFF. In Proceedings of 2009 Symposium on VLSI Technology, Honolulu, HI, USA, 16–18 June 2009; pp. 178–179.
- White, S. A Brief History of Computing. Available online: http://trillian.randomstuff.org.uk/~stephen/history/ (accessed on 6 August 2015).
- Bustillo, J.M.; Howe, R.T.; Muller, R.S. Surface micromachining for microelectromechanical systems. Proc. IEEE 1998, 86, 1552–1574. [Google Scholar] [CrossRef]
- Akarvardar, K.; Elata, D.; Parsa, R.; Wan, G.C.; Yoo, K.; Provine, J.; Peumans, P.; Howe, R.T.; Wong, H.S.P. Design considerations for complementary nanoelectromechanical logic gates. In Proceedings of IEEE International Electron Devices Meeting (IEDM 2007), Washington, DC, USA, 10–12 December 2007; pp. 299–302.
- Chen, F.; Kam, H.; Marković, D.; Liu, T.-J.K.; Stojanović, V.; Alon, E. Integrated circuit design with NEM relays. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, San Jose, CA, USA, November 2008; pp. 750–757.
- Petersen, K.E. Micromechanical membrane switches on silicon. IBM J. Res. Dev. 1979, 23, 376–385. [Google Scholar] [CrossRef]
- Rebeiz, G.M. RF MEMS: Theory, Design, and Technology; Wiley: Hoboken, NJ, USA, 2003. [Google Scholar]
- Rebeiz, G.M.; Patel, C.D.; Han, S.K.; Ko, C.H.; Ho, K.M.J. The search for a reliable MEMS switch? IEEE Microw. Mag. 2013, 14, 57–67. [Google Scholar] [CrossRef]
- Zaghloul, U.; Piazza, G. 10–25 nm piezoelectric nano-actuators and NEMS switches for millivolt computational logic. In Proceedings of the IEEE International Conference on Micro Electro Mechanical Systems (MEMS), Taipei, Taiwan, 20–24 January 2013; pp. 233–236.
- Zaghloul, U.; Piazza, G. Sub-1-volt piezoelectric nanoelectromechanical relays with millivolt switching capability. IEEE Electron Device Lett. 2014, 35, 669–671. [Google Scholar]
- Piazza, G. Aluminum nitride piezoelectric NEMS resonators and switches. SPIE Proc. 2010, 7679, 76791L. [Google Scholar]
- Qian, C.; Peschot, A.; Chen, I.R.; Chen, Y.H.; Xu, N.; Liu, T.-J.K. Effect of body biasing on the energy-delay performance of logic relays. IEEE Electron Device Lett. 2015, 36, 862–864. [Google Scholar] [CrossRef]
- Nielson, G.N.; Barbastathis, G. Dynamic pull-in of parallel-plate and torsional electrostatic MEMS actuators. J. Microelectromech. Syst. 2006, 15, 811–821. [Google Scholar] [CrossRef]
- Holm, R. Electric Contacts: Theory and Applications; Springer: Berlin, Germany, 1999. [Google Scholar]
- Slade, P.G. Electrical Contacts: Principles and Applications, 2nd ed.; Taylor & Francis: Abingdon, UK, 2013. [Google Scholar]
- Toler, B.F.; Coutu, R.A.; McBride, J.W. A review of micro-contact physics for microelectromechanical systems (MEMS) metal contact switches. J. Micromech. Microeng. 2013, 23, 103001. [Google Scholar] [CrossRef]
- Jang, J.E.; Cha, S.N.; Choi, Y.; Amaratunga, G.A.J.; Kang, D.J.; Hasko, D.G.; Jung, J.E.; Kim, J.M. Nanoelectromechanical switches with vertically aligned carbon nanotubes. Appl. Phys. Lett. 2005, 87, 163114. [Google Scholar] [CrossRef]
- Rueckes, T.; Kim, K.; Joselevich, E.; Tseng, G.Y.; Cheung, C.L.; Lieber, C.M. Carbon nanotube-based nonvolatile random access memory for molecular computing. Science 2000, 289, 94–97. [Google Scholar] [CrossRef] [PubMed]
- Jang, J.E.; Cha, S.N.; Choi, Y.; Butler, T.P.; Kang, D.J.; Hasko, D.G.; Jung, J.E.; Jin, Y.W.; Kim, J.M.; Amaratunga, G.A.J. Nanoelectromechanical switch with low voltage drive. Appl. Phys. Lett. 2008, 93, 113105. [Google Scholar] [CrossRef]
- Lee, S.W.; Campbell, E.E.B. Nanoelectromechanical devices with carbon nanotubes. Curr. Appl. Phys. 2013, 13, 1844–1859. [Google Scholar] [CrossRef]
- Lu, W.; Lieber, C.M. Nanoelectronics from the bottom up. Nat. Mater. 2007, 6, 841–850. [Google Scholar] [CrossRef] [PubMed]
- Kaul, A.B.; Wong, E.W.; Epp, L.; Hunt, B.D. Electromechanical carbon nanotube switches for high-frequency applications. Nano Lett. 2006, 6, 942–947. [Google Scholar] [CrossRef] [PubMed]
- Cha, S.N.; Jang, J.E.; Choi, Y.; Amaratunga, G.A.J.; Kang, D.J.; Hasko, D.G.; Jung, J.E.; Kim, J.M. Fabrication of a nanoelectromechanical switch using a suspended carbon nanotube. Appl. Phys. Lett. 2005, 86, 083105. [Google Scholar] [CrossRef]
- Tombler, T.W.; Zhou, C.W.; Alexseyev, L.; Kong, J.; Dai, H.J.; Lei, L.; Jayanthi, C.S.; Tang, M.J.; Wu, S.Y. Reversible electromechanical characteristics of carbon nanotubes under local-probe manipulation. Nature 2000, 405, 769–772. [Google Scholar] [PubMed]
- Farajian, A.A.; Yakobson, B.I.; Mizuseki, H.; Kawazoe, Y. Electronic transport through bent carbon nanotubes: Nanoelectromechanical sensors and switches. Phys. Rev. B 2003, 67, 205423. [Google Scholar] [CrossRef]
- Ke, C.H.; Espinosa, H.D. In situ electron microscopy electromechanical characterization of a bistable NEMS device. Small 2006, 2, 1484–1489. [Google Scholar] [CrossRef] [PubMed]
- Loh, O.Y.; Espinosa, H.D. Nanoelectromechanical contact switches. Nat. Nanotechnol. 2012, 7, 283–295. [Google Scholar] [CrossRef] [PubMed]
- Jang, W.W.; Lee, J.O.; Yoon, J.B.; Kim, M.S.; Lee, J.M.; Kim, S.M.; Cho, K.H.; Kim, D.W.; Park, D.; Lee, W.S. Fabrication and characterization of a nanoelectromechanical switch with 15-nm-thick suspension air gap. Appl. Phys. Lett. 2008, 92, 103110. [Google Scholar] [CrossRef]
- Jang, W.W.; Yoon, J.B.; Kim, M.S.; Lee, J.M.; Kim, S.M.; Yoon, E.J.; Cho, K.H.; Lee, S.Y.; Choi, I.H.; Kim, D.W.; et al. NEMS switch with 30 nm-thick beam and 20 nm-thick air-gap for high density non-volatile memory applications. Solid-State Electron. 2008, 52, 1578–1583. [Google Scholar] [CrossRef]
- Lee, J.O.; Kim, M.W.; Ko, S.D.; Kang, H.O.; Bae, W.H.; Kang, M.H.; Kim, K.N.; Yoo, D.E.; Yoon, J.B. 3-terminal nanoelectromechanical switching device in insulating liquid media for low voltage operation and reliability improvement. In Proceedings of the IEEE International Electron Devices Meeting Technical Digest, Baltimore, MD, Maryland, 7–9 December 2009; pp. 208–211.
- Lee, J.O.; Song, Y.H.; Kim, M.W.; Kang, M.H.; Oh, J.S.; Yang, H.H.; Yoon, J.B. A sub-1-volt nanoelectromechanical switching device. Nat. Nanotechnol. 2013, 8, 36–40. [Google Scholar] [CrossRef] [PubMed]
- Nathanael, R.; Pott, V.; Kam, H.; Jeon, J.; Liu, T.-J.K. 4-terminal relay technology for complementary logic. In Proceedings of the IEEE International Electron Devices Meeting Technical Digest, Baltimore, MD, Maryland, 7–9 December 2009; pp. 204–207.
- Kam, H.; Pott, V.; Nathanael, R.; Jeon, J.; Alon, E.; Liu, T.-J.K. Design and reliability of a micro-relay technology for zero-standby-power digital logic applications. In Proceedings of the IEEE International Electron Devices Meeting Technical Digest, Baltimore, MD, Maryland, 7–9 December 2009; pp. 757–760.
- Liu, T.-J.K.; Hutin, L.; Chen, I.R.; Nathanael, R.; Chen, Y.H.; Spencer, M.; Alon, E. Recent progress and challenges for relay logic switch technology. In Proceedings of 2012 Symposium on VLSI Technology, Honolulu, HI, USA, 12–14 June 2012; pp. 43–44.
- Pott, V.; Kam, H.; Nathanael, R.; Jeon, J.; Alon, E.; Liu, T.-J.K. Mechanical computing redux: Relays for integrated circuit applications. Proc. IEEE 2010, 98, 2076–2094. [Google Scholar] [CrossRef]
- Chen, I.R.; Hutin, L.; Park, C.; Lee, R.; Nathanael, R.; Yaung, J.; Jeon, J.; Liu, T.-J.K. Scaled micro-relay structure with low strain gradient for reduced operating voltage. ECS Transact. 2012, 45, 101–106. [Google Scholar] [CrossRef]
- Chen, Y.H.; Nathanael, R.; Jeon, J.; Yaung, J.; Hutin, L.; Liu, T.-J.K. Characterization of contact resistance stability in MEM relays with tungsten electrodes. J. Microelectromech. Syst. 2012, 21, 511–513. [Google Scholar] [CrossRef]
- Spencer, M.; Chen, F.; Wang, C.C.; Nathanael, R.; Fariborzi, H.; Gupta, A.; Kam, H.; Pott, V.; Jeon, J.; Liu, T.-J.K.; et al. Demonstration of integrated micro-electro-mechanical relay circuits for VLSI applications. IEEE J. Solid-State Circuits 2011, 46, 308–320. [Google Scholar] [CrossRef]
- Chong, S.; Akarvardar, K.; Parsa, R.; Jun-Bo, Y.; Howe, R.T.; Mitra, S.; Wong, H-S.P. Nanoelectromechanical (NEM) relays integrated with CMOS SRAM for improved stability and low leakage. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, San Jose, CA, USA, 2–5 November 2009; pp. 478–484.
- Parsa, R.; Shavezipur, M.; Lee, W.S.; Chong, S.; Lee, D.; Wong, H.S.P.; Maboudian, R.; Howe, R.T. Nanoelectromechanical relays with decoupled electrode and suspension. In Proceedings of the 24th IEEE International Conference on Micro Electro Mechanical Systems, Cancun, Mexico, 23–27 January 2011; pp. 1361–1364.
- Parsa, R.; Lee, W.S.; Shavezipur, M.; Provine, J.; Maboudian, R.; Mitra, S.; Wong, H.-S.P.; Howe, R.T. Laterally actuated platinum-coated polysilicon NEM relays. J Microelectromech. Syst. 2013, 22, 768–778. [Google Scholar] [CrossRef]
- Rana, S.; Qin, T.; Bazigos, A.; Grogg, D.; Despont, M.; Ayala, C.L.; Hagleitner, C.; Ionescu, A.M.; Canegallo, R.; Pamunuwa, D. Energy and latency optimization in NEM relay-based digital circuits. IEEE Trans. Circuits Syst. 2014, 61, 2348–2359. [Google Scholar] [CrossRef]
- Bazigos, A.; Ayala, C.L.; Rana, S.; Grogg, D.; Fernandez-Bolanos, M.; Hagleitner, C.; Qin, T.; Pamunuwa, D.; Ionescu, A.M. Electromechanical design space exploration for electrostatically actuated ohmic switches using extended parallel plate compact model. Solid-State Electron. 2014, 99, 93–100. [Google Scholar] [CrossRef]
- Grogg, D.; Ayala, C.L.; Drechsler, U.; Sebastian, A.; Koelmans, W.W.; Bleiker, S.J.; Fernandez-Bolanos, M.; Hagleitner, C.; Despont, M.; Duerig, U.T. Amorphous carbon active contact layer for reliable nanoelectromechanical switches. In Proceedings of the 27th IEEE International Conference on Micro Electro Mechanical Systems, 26–30 January 2014; pp. 143–146.
- Ayala, C.L.; Grogg, D.; Bazigos, A.; Badia, M.F.B.; Duerig, U.T.; Despont, M.; Hagleitner, C. A 6.7 MHz nanoelectromechanical ring oscillator using curved cantilever switches coated with amorphous carbon. In Proceedings of the 44th European Solid-State Device Research Conference, Venice, Italy, 22–26 September 2014; pp. 66–69.
- Feng, X.L.; Matheny, M.H.; Zorman, C.A.; Mehregany, M.; Roukes, M.L. Low voltage nanoelectromechanical switches based on silicon carbide nanowires. Nano Lett. 2010, 10, 2891–2896. [Google Scholar] [CrossRef] [PubMed]
- He, T.; Yang, R.; Ranganathan, V.; Rajgopal, S.; Tupta, M.A.; Bhunia, S.; Mehregany, M.; Feng, P.X.L. Silicon carbide (SiC) nanoelectromechanical switches and logic gates with long cycles and robust performance in ambient air and at high temperature. In Proceedings of the IEEE International Electron Devices Meeting Technical Digest, Washington, DC, USA, 9–11 December 2013; pp. 461–464.
- He, T.N.; Lee, J.; Wang, Z.H.; Feng, P.X.L. Interrogating contact-mode silicon carbide (SiC) nanoelectromechanical switching dynamics by ultrasensitive laser interferometry. In Proceedings of the 27th IEEE International Conference on Micro Electro Mechanical Systems, 26–30 January 2014; pp. 1079–1082.
- Alzoubi, K.; Saab, D.G.; Han, S.J.; Tabib-Azar, M. Complementary nano-electro-mechanical switch for ultra-low-power applications: Design and modeling. In Proceedings of the 12th International Symposium on Quality Electronic Design, Santa Clara, CA, USA, 14–16 March 2011; pp. 728–735.
- Wang, X.; Narasimhan, S.; Krishna, A.; Wolff, F.G.; Rajgopal, S.; Te-Hao, L.; Mehregany, M.; Bhunia, S. High-temperature (>500°C) reconfigurable computing using silicon carbide NEMS switches. In Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 14–18 March 2011; pp. 1–6.
- Han, S.; Sirigiri, V.; Saab, D.G.; Chowdhury, F.K.; Tabib-Azar, M. Compact NEMS FPGA design for harsh environment and low power requirement. In Proceedings of the 17th International Conference on Solid-State Sensors, Actuators and Microsystems, Barcelona, Spain, 16–20 June 2013; pp. 2369–2372.
- Czaplewski, D.A.; Patrizi, G.A.; Kraus, G.M.; Wendt, J.R.; Nordquist, C.D.; Wolfley, S.L.; Baker, M.S.; de Boer, M.P. A nanomechanical switch for integration with CMOS logic. J. Micromech. Microeng. 2009, 19, 085003. [Google Scholar] [CrossRef]
- Rubin, J.; Sundararaman, R.; Kim, M.K.; Tiwari, S. A single lithography vertical NEMS switch. In Proceedings of the 24th IEEE International Conference on Micro Electro Mechanical Systems, Cancun, Mexico, 23–27 January 2011; pp. 95–98.
- Xiang, W.F.; Lee, C. Nanoelectromechanical torsion switch of low operation voltage for nonvolatile memory application. Appl. Phys. Lett. 2010, 96, 193113. [Google Scholar] [CrossRef]
- Dadgour, H.F.; Banerjee, K. Hybrid nems-cmos integrated circuits: A novel strategy for energy-efficient designs. IET Comput. Digital Tech. 2009, 3, 593–608. [Google Scholar] [CrossRef]
- Nuo, X.; Sun, J.; Chen, I.R.; Hutin, L.; Chen, Y.H.; Fujiki, J.; Chuang, Q.; Liu, T.-J.K. Hybrid CMOS/BEOL-NEMS technology for ultra-low-power IC applications. In Proceedings of the IEEE International Electron Devices Meeting, San Francisco, CA, USA, 15–17 December 2014; pp. 677–680.
- Fedder, G.K.; Howe, R.T.; Liu, T.-J.K.; Quevy, E.P. Technologies for cofabricating MEMS and electronics. Proc. IEEE. 2008, 96, 306–322. [Google Scholar] [CrossRef]
- Pawashe, C.; Lin, K.; Kuhn, K.J. Scaling limits of electrostatic nanorelays. IEEE Trans. Electron Devices 2013, 60, 2936–2942. [Google Scholar] [CrossRef]
- Natarajan, S.; Agostinelli, M.; Akbar, S.; Bost, M.; Bowonder, A.; Chikarmane, V.; Chouksey, S.; Dasgupta, A.; Fischer, K.; Fu, Q. A 14nm logic technology featuring 2nd-generation FinFET, air-gapped interconnects, self-aligned double patterning and a 0.0588 µm2 SRAM cell size. In Proceedings of the IEEE International Electron Devices Meeting, San Francisco, CA, USA, 15–17 December 2014; pp. 71–73.
- Prokopovich, P.; Starov, V. Adhesion models: From single to multiple asperity contacts. Adv. Colloid. Interface Sci. 2011, 168, 210–222. [Google Scholar] [CrossRef] [PubMed]
- Xiang, H.; Komvopoulos, K. Evolution of sidewall adhesion in surface micromachines due to repetitive impact loading. J. Appl. Phys. 2012, 111, 054507. [Google Scholar] [CrossRef]
- Vincent, M.; Chiesi, L.; Fourrier, J.C.; Garnier, A.; Grappe, B.; Lapiere, C.; Coutier, C.; Samperio, A.; Paineau, S.; Houzee, F. Electrical contact reliability in a magnetic MEMS switch. In Proceedings of the 54th IEEE Holm Conference on Electrical Contacts, Orlando, FL, USA, 27–29 October 2008; pp. 145–150.
- Peschot, A.; Poulain, C.; Souchon, F.; Charvet, P.L.; Bonifaci, N.; Lesaint, O. Contact degradation due to material transfer in MEM switches. Microelectron. Reliab. 2012, 52, 2261–2266. [Google Scholar] [CrossRef]
- Chen, Y.H.; Nathanael, R.; Yaung, J.; Hutin, L.; Liu, T.-J.K. Reliability of MEM relays for zero leakage logic. Proc. SPIE 2013, 8614, 861404. [Google Scholar]
- Chowdhury, F.K.; Pourzand, H.; Tabib-Azar, M. Investigation of contact resistance evolution of Ir, Pt, W, Ni, Cr, Ti, Cu and Al over repeated hot-contact switching for NEMS switches. In Proceedings of 26th IEEE International Conference on Micro Electro Mechanical Systems, Taipei, Taiwan, 20–24 January 2013; pp. 445–448.
- Czaplewski, D.A.; Nordquist, C.D.; Patrizi, G.A.; Kraus, G.M.; Cowan, W.D. RF NEMS switches with RuO2-Au contacts cycled to 10 billion cycles. J. Microelectromech. Syst. 2013, 22, 655–661. [Google Scholar] [CrossRef]
© 2015 by the authors; licensee MDPI, Basel, Switzerland. This article is an open access article distributed under the terms and conditions of the Creative Commons Attribution license (http://creativecommons.org/licenses/by/4.0/).
Share and Cite
Peschot, A.; Qian, C.; Liu, T.-J.K. Nanoelectromechanical Switches for Low-Power Digital Computing. Micromachines 2015, 6, 1046-1065. https://doi.org/10.3390/mi6081046
Peschot A, Qian C, Liu T-JK. Nanoelectromechanical Switches for Low-Power Digital Computing. Micromachines. 2015; 6(8):1046-1065. https://doi.org/10.3390/mi6081046
Chicago/Turabian StylePeschot, Alexis, Chuang Qian, and Tsu-Jae King Liu. 2015. "Nanoelectromechanical Switches for Low-Power Digital Computing" Micromachines 6, no. 8: 1046-1065. https://doi.org/10.3390/mi6081046